实验八基于VHDL的时序逻辑电路设计

Download Report

Transcript 实验八基于VHDL的时序逻辑电路设计

实验八、基于VHDL的时
序逻辑电路设计
本部分实验内容为新内容,操作步骤较多,为保证实
验项目进行完毕,请同学们务必提前做好预习准备
1
数字电路可编程逻辑设计实验
第一部分:背板使用介绍
2
一 、可编程逻辑背板概况





可编程逻辑器件选用Altera公司新一代CPLD器件:
MAXII系列的EPM240T100C5;
逻辑单元(LE)240个,等效宏单元192个,最大
用户I/O 80个;
背板上共引出15(左侧)+18(右侧)+22(上方)
=55个I/O供用户外接使用,其余I/O提供给背板上自
带的功能电路(LED、按键、VGA接口、拨码开关
等);
背板由下载器通过电缆直接供电,无需从实验箱外
接电源线;
通过PC机USB接口与背板连接,下载所做的设计,
简单、方便。
3
正面视图
下载接口
电源指示灯
电源开关
Hole23
不可用,
印字无效
注意:电路
板上方禁止
摆放任何金
属物质,以
防短路。
LED指示电路
拨码开关,
推上为低电
平,拨下为
高电平
VGA接口
独立按键,按下为低电
平,弹起为高电平
4
Pinxx表示芯片的
IO引脚标号,在
QuartusII下锁定
Holexx表示实验箱
底座接线孔的标号,
接到目标处。
5
使用时
Hole23需接
一根地线到实
验箱的地
此IO不可用,
印字无效
可编程器件背板
俯视印字示意图
6
背面视图
此脚不用,共地连
接点,使用时从23
号孔用一根导线连
接到GND。
PLD主芯片
7
二、背板连接说明


1、第一次使用时:先将背板按针脚对应插入实验箱
背板接口区的插孔内,然后按下锁紧插座的锁紧拉
杆。 (注意插入时不要错位) ;
2、连通PC机→配套下载器→实验背板;
 下载器与PC机通过USB打印线连接(一头方|一头扁);
 下载器与实验背板通过9针平行串口线连接;
 线路较长,请适当缠绕,折放整齐。

3、连通线路后,打开实验背板上面的电源开关
(推到右侧),电源指示灯点亮,表明连线无误。
8
下载器第一次连接到PC机,会弹出硬件安装向导,
按下述操作完成下载器安装
9
PC机→配套下载器→实验背板 连接OK示意图
10

左侧孔:


右侧孔:


Hole1-Hole17;
Hole23-Hole40;
上侧孔:

Hole1-Hole22
-------为有效接线孔
 使用时使用连接线
接到目标处即可;
 上侧孔Hole23接线
时需使用导线连接
到GND处,完成共
地,下载程序时务
必先断开此线,否
则下载易出错
11
三、在QuartusII下对设计进行引脚锁定
在实验七流水灯设计的基础上锁定引脚
 引脚锁定以实际的实验系统选用的芯片为准
 引脚锁定方法:

 Assignments/Pin
双击编辑窗口对应引脚的Location,根据端口名选择
引脚号;
 或者:双击芯片图形的对应引脚,然后选择端口名;
 或者:将编辑窗口左侧的信号名逐个拖入右侧器件图
形对应引脚上(适用于引脚数量较少的目标器件)

12
在QuartusII下对设计进行引脚锁定续
双击“Location”下方的表格框,弹出指定
器件的引脚列表,选择用户自定义的引脚。
13
现在将流水灯的8个输出端所需引脚锁定到实验背板对应
的8个发光Led上。
1、8个发光Led与可编程逻辑器件芯片的对应关系:
Led(左起) 1
95
PLD引脚
2
92
3
91
4
90
5
89
6
88
7
87
8
86
2、流水灯的秒脉冲使用实验箱上的连续脉冲,锁定到背
板(图示)的任意外引针脚上
14
锁定完毕
Pin Planer下锁定完毕 列表
原理图编辑区
有对应的锁定
信息显示
15
四、执行全程编译(必要步骤)
16
五、编程下载
 启动下载:单击Tools\Programmer,即启动下载界面
 在下载界面选择单击Hardware
Setup按钮选择下载器,
在弹出菜单中双击选择USB-Blaster ,再单击close。
17
 编程下载:在配置文件(*.sof或*.pof)信息窗口
处(屏幕右下大半区域),勾选
program/configure,
 然后单击start按钮,即开始配置/编程;
 当编程窗口右上角progress显示出100%,表示编
程成功;
18
正在下载程序……
19
六、故障处理
 若编程器自身有故障或者未正确连接,则不能编程/配置,
底部的信息栏会有红色字体的信息提示,这时需要检查编
程器的连接。
 解决方法1:关闭背板电源开关,开电后重试下载;
 解决方法2:将背板与实验箱的共地连接线(上排插针23号
孔)断开,重试下载,待下载完成后,再连接此线;
 解决方法3:将下载线与PC机USB连接线断开,插入,重
试下载。
20
第二部分:
基于VHDL的时序逻辑电路设计

一、实验目的
熟练Quartus II软件的使用;
 2. 学会使用硬件描述语言(VHDL)设计时序
逻辑电路;
 1.

二、实验内容和要求
 用VHDL语言描述一个12进制计数器(有示例
源代码),在实验箱上测试结果。
21
三、实验原理(新建文本设计文件)

在文本编辑区输入
VHDL源代码
22
三、实验原理(VHDL源码)
计数器的结束状态
计数器的起始状态
进位信号输出
23
四、实验步骤

1. 建立一个项目;
2. 选定目标器件(EPM240T100C5),配置管脚,对设计进行综合;
3. 输入描述12进制计数器的VHDL源码;
4. 编辑测试激励波形文件,执行时序仿真,记录仿真结果;
5.在实验箱上任选5个LED,分别用于观察计数输出及进位输出;
6. 任选背板IO口,锁定引脚,下载设计文件到芯片中;
7. 断电后连线,然后上电观察硬件运行结果,如不正确,需要重新修
改设计;
8.记录实验结果及实验过程中出现的问题及解决办法。

若实验成功,修改代码,实现任意进制计数器







24
五、实验报告要求




1. 记录设计源程序。
2. 记录综合结果(逻辑单元<Le>的消耗情况
等)。
3. 记录仿真结果(画出仿真波形)。
4. 分析结果(实验现象结论)。
六、预习要求


1.熟练掌握QuartusII软件的使用(建立工程、
指定设计芯片、建立原理图、时序仿真等)
2.自行学习QuartusII软件建立文本编辑文件的
方法,进行时序仿真等
25