数字电路与逻辑设计课内实验二基于QuartusII的

Download Report

Transcript 数字电路与逻辑设计课内实验二基于QuartusII的

实验二、基于Quartus II的
流水灯设计仿真
本部分实验内容为新内容,操作步骤较多,为保证实
验项目进行完毕,请同学们务必提前做好预习准备
预习要求
1.从实验中心网站下载软件
2.按照ppt所示,操作使用,
仿真数字逻辑器件功能
1
一、实验目的





通过本次实验,引导学生以计算机辅助设计的手段
来设计数字逻辑电路;
掌握QuartusII集成开发环境软件原理图输入的设
计流程;
掌握简单流水灯的工作原理,学会通过QuartusII
建立原理图设计小型数字电路;
掌握可编程逻辑器件(PLD)的开发步骤;
掌握对设计进行编译、仿真的方法。
二、实验设备

计算机
2
三、流水灯要求及工作原理
………………………………





流水灯工作流程如上图所示,用逻辑电路控制8个LED灯,始终保持
7亮1暗,在脉冲信号CP的推动下循环流动;
将灯亮用1表示,灯灭用0表示,可写出流水灯的真值表;
观察发现,3-8线译码器74LS138的逻辑真值表与其相同,因此采用
74LS138作为主控逻辑器件;
如果能够通过脉冲信号CP在74LS138的A2、A1、A0地址端产生连
续的000、001、010……111→000……的地址信号,在74LS138输
出端的8个LED灯即可产生流水效果。
将3个边沿D/JK触发器前级的Q端与后级的CP串联,即可在Q0、Q1、
Q2端产生出与000、001、010……111→000……对应的的地址信号。
3
四、实验任务
1、异步模八计数器设计(在QuartusII中画图)



由JK→T’构成异步模八计数器为74LS138产生连续变化的
地址信号;
f1为连续脉冲CP,为便于视觉观察,输入频率一般应为110Hz;
Q2、Q1、Q0分别接到74138的地址端A2、A1、A0;
JKFF
CP
INPUT
VCC
f1
J
K
VCC
JKFF
PRN
CLRN
inst1
Q
J
K
JKFF
PRN
CLRN
inst2
Q
J
PRN
Q2
Q
K
CLRN
inst3
Q1
Q0
4
2、译码器应用(在QuartusII中画图)


Q2、Q1、Q0接三个JK触发器的Q端输出,接收前端
计数器输出的地址信号;
Y0、Y1、……Y7与实验板上的LED灯进行连接(在
QuartusII下进行引脚锁定),观察流水现象;
74138
Q0
Q1
Q2
inst
VCC
Y0N
A
Y1N
B
Y2N
C
Y3N
G1
Y4N
G2AN
Y5N
G2BN
Y6N
Y7N
3:8 DECODER
OUTPUT
Y0
OUTPUT
Y1
OUTPUT
Y2
OUTPUT
Y3
OUTPUT
Y4
OUTPUT
Y5
OUTPUT
Y6
OUTPUT
Y7
GND
5
五、实验步骤
1. 通过QuartusII建立一个新项目;
 2. 建立项目时选MAXII系列(family)的目标
器件(devices)EPM240T100C5
 3. 新建图形设计文件,调用相关元件,设计总
体电路原理图,并编译通过;
 4. 新建波形矢量文件,添加引脚端口并编辑激
励波形,保存该文件并执行时序仿真,观察并
分析仿真结果。

6
QuartusII软件操作流程
请同学们参照后面的步骤,提前做好预习,
熟悉QuartusII软件的操作环境;
 QuartusII软件可到实验中心网站上下载,
要注意它的破解步骤。

7
一、准备

1、使用QuartusII软件之前,请确保软件已正常破解
 若启动QuartusII时看到如下注册许可界面,则说明软件尚
未注册许可,需要进行认证后才能正常使用:
 开始菜单\运行中输入命令:cmd,打开dos命令窗;
 在命令窗中输入:
ipconfig/all,即列出本机物理地址
physiccal address;
 用记事本打开本机D:\Altera目录下的License.Dat文件,
将其中的Host ID替换为本机的物理地址即完成破解。(替
换时需注意不能插入空格并去掉符号“-” )
 保存文件并关闭,重启Quartus,注册许可界面已消失。
8
2、Quartus II 6.0主界面操作环境
1、Project Navigator(工程管理器)
2、Status window(状态窗口)
2、Message window(信息窗口)
9
3、常用工具栏
若QuartusII界面上
一些默认的按钮被
关闭,影响使用,
可按右边的操作步
骤来复原
扩展控制按钮
编译报告
To reset views:
1.Tools  Customize  Toolbars  Reset All
2.Restart Quartus II
10
二、在QuartusII6.0环境下建立工程
工程创建时的准备工作



QuartusII通过“工程(Project)”来管理设计文
件,必须为此工程创建一个放置与此工程相关的
所有设计文件的文件夹;
此文件夹名不宜用中文,也最好不要用数字,应
放到磁盘上容易找到的地方,不要放在软件的安
装目录中;
建立完工程文件夹后再进行后续操作……
11
1、项目创建向导
文件菜单
选择文件的存放路径
工程文件名,任取,建立
在用户自己的目录下,不
要使用软件的安装目录或
系统目录
基于已有项目创建工程
(一般 不使用)
顶层实体名,一般和工程
名相同
设置完毕后单击“Next”
12
2、为创建的工程添加设计文件
添加用户的设计文件
- 选中待添加的文件后点击
“Add”,若暂无文件,
直接点击“Next”
设置完毕后单击“Next”
13
3、器件选择
选择CPLD/FPGA
器件所属系列
选择CPLD/FPGA器件型号
设置完毕后单击“Next”
本EDA实验背板所使用的器件为ALtera公司MAXII系列
(Family)的EPM240T100C5(Avaliable devices)
14
4、EDA 工具设置
选择第三方EDA工具(如
ModelSim、Synplify等)
这里不需要,都不打勾
该步骤可单击“Next”直接跳过
15
5、完成!
工程创建完毕,界面上在工程管
理器处出现所选用的器件系列、
器件名及工程文件名“epm240”;
单击“Finish”,完成工程创建
16
综上所述,创建工程时的几个步骤如下







(1)指定工程所在的工作库文件夹、工程名及设
计实体名;
(2)将设计文件加入工程中,若无设计文件直接
跳过;
(3)选择仿真器和综合器类型(默认“None”为
选择QuartusII自带的);
(4)选择目标芯片(开发板上的芯片类型);
(5)工具设置,默认为都不选择,可直接跳过;
(6)完成创建。
工程建立后,若需要新增设计文件,可以通过菜单
项Project /Add_Remove……在工程中添加新建立
的设计文件,也可以删除不需要的设计文件。编译
时将按此选项卡中列出的文件处理。
17
三、在QuartusII6.0工程下建立设计文件
1、在File菜单下点击“New”,即弹出新建文件窗口
选此后单击OK
QuartusII支持原理图输入、VHDL语言输入等多种设计输入方式,
后面以原理图输入(Block Diagram/Schematic File)为例介绍 18
2、建立原理图设计文件
上图中,选择第二项:Block Diagram/Schematic File,
点击ok后即得如下界面:
工程文件名
绘图辅助工具
原理图编辑区
19
3、调用参数化元件(内置元件)

在绘图区双击鼠标左键,即弹出添加元件的窗口
点击“+”号可展
开查看查看库中
所有的元件/端口
在此输入已知的
元件名,可以快
速地调出元件/端
口并预览
20

分别输入“input”和“74138”时的预览窗口
输入INPUT,库里已有的
端口符号会预览在这里
单击OK,即可将预览的
端口符号/元件放置在绘图区
输入74138,库里已有的
元件会预览在这里
21

绘图辅助工具栏介绍
1、画线及选择工具
2、文本工具
3、符号工具,点击后可调
出前面添加元件的窗口
4、窗口缩放工具
选中后,右键放大,左键缩小
5、窗口全屏显示,按“ESC”退出
其余工具按钮不常用,
这里不介绍
注意:使用窗口缩放工具按钮后,请切换回画线及选择
工具按钮,才能对绘图进行编辑。
22

从符号库中调出74138、VCC、GND、INPUT、
OUTPUT等符号/端口,排放整齐;
自定义的端口名
74138
Q0
网络
标号
Q1
Q2
inst
VCC
Y0N
A
Y1N
B
Y2N
C
Y3N
G1
Y4N
G2AN
Y5N
G2BN
Y6N
Y7N
3:8 DECODER
OUTPUT
Y0
OUTPUT
Y1
OUTPUT
Y2
OUTPUT
Y3
OUTPUT
Y4
OUTPUT
Y5
OUTPUT
Y6
OUTPUT
Y7
GND


完成画线连接操作(鼠标放到端点处,会自动变
为小十字形,按下左键拖动到目标处,释放后即
完成本次画线操作),若要画折线,在转折处单
击一次左键,继续拖动即可;
为OUTPUT端口命名:双击该输出端口,在弹出
的窗口中输入名称即可。
23


重复上述步骤,依次输入JKFF、INPUT,按下图
进行连接,完成分频器及计数器电路设计;
使用网络标号关联2个原理图:
JKFF
CP
INPUT
VCC
f1
J
JKFF
PRN
Q
K
VCC
J
JKFF
PRN
Q
J
K
CLRN
inst1
PRN
Q2
Q
K
CLRN
inst2
CLRN
inst3
Q1
Q0
网络标号用来实现将
两根未连通的线进行
“不画线”的连接
74138
Q0
Q1
如何添加网络标号:在
需要添加网路标号的连
线上单击右键,弹出的
菜单上选择
“Properties” 后输入
网络标号即可
Q2
inst
VCC
Y0N
A
Y1N
B
Y2N
C
Y3N
G1
Y4N
G2AN
Y5N
G2BN
Y6N
Y7N
3:8 DECODER
OUTPUT
Y0
OUTPUT
Y1
OUTPUT
Y2
OUTPUT
Y3
OUTPUT
Y4
OUTPUT
Y5
OUTPUT
Y6
OUTPUT
Y7
GND
24
四、全程编译
在下拉菜单“Processing”
中选择“Start
Compilation”,启动全程编
译
编译完成后的
信息报告窗口
25
关于全程编译

启动全程编译:
 选择Processing/Start
Compilation,自动完成分
析、排错、综合、适配、汇编及时序分析的全过
程。
编译过程中,错误信息通过下方的信息栏指
示(红色字体)。
 双击错误信息,可以定位到错误所在处,改
正后再次进行编译直至排除所有错误;
 编译成功后,会弹出编译报告,显示相关编
译信息。

26
五、时序仿真


顺
序
并
不
是
唯
一
的
工程编译完成后,设计结果是否满足设计要求,
可以通过时序仿真来分析;
时序仿真主要包含如下的设置步骤:
 打开波形编辑器;
 设置仿真时间区域;
 波形文件存盘;
 将端口节点信号选入波形编辑器中;
 编辑输入波形(输入激励信号);
 总线数据格式设置
 启动仿真器
 观察仿真结果(波形编辑文件及产生的波形报告文件分
开显示)
 若无法观察完整波形,可以使用热键Ctrl+W,即可看到
完整的仿真波形。也可使用鼠标左右键,方法如下:
选中后,右键放大,左键缩小
27
1、建立波形矢量文件(左图);
 2、添加引脚节点

28
添加引脚节点(续)
在Filter下选择“Pins:
unassigned”,再单击
“List”,列出引脚端口
”
在Nodes
Found下方的列
表下选择所列出
的端口,将其拖
放到波形文件的
引脚编辑区
29
3、设置仿真时间长度
默认为1us,这里将其设置为100us
30
4、设置仿真时间周期
默认为10ns,由于竞争冒险的存
在,在仿真时信号波形和大量毛
刺混叠在一起,影响仿真结果
因此,这里设置为500ns
31
5、编辑输入端口信号
窗口缩放(左键放
大,右键缩小)
已编辑好的时钟波形
选中CP后,点击
此符号,直接编
辑周期脉冲信号
32
6、启动时序仿真
低电平看做灯灭,
高电平看做灯亮。
窄尖峰为冒险引起,
不影响逻辑功能。
分析波形可见,与74LS138功能真值表一致,结果正确
33
六、实验报告要求





1. 画出设计原理图。
2. 记录编译结果(逻辑单元<Le>的消耗情况
等)。
3. 记录仿真结果(画出仿真波形)。
4. 分析结果(实验现象结论)。
5. 简答思考题。
思考题:
1、什么是可编程逻辑器件,简述其优点;
2、简述QuartusII进行本实验项目设计的流程。
34