Notch (footing effect)

Download Report

Transcript Notch (footing effect)

PG64936
초정밀가공론
Chapter 3
Chemical Nano-Processing
3-4. Etching
Outline
- Terminology
- Wet Etching
- Dry Etching
- DRIE
- Si / SiO2/ SiN/ Metal Dry etching
Etching terminology
Etching terminology
Etching terminology
Etching terminology
Etching terminology
Mechanism of wet etching
Mechanism of wet etching
Mechanism of wet etching
Mechanism of wet etching
Mechanism of wet etching
Experimental conditions for Si wafer etching
Pattern size 100㎛
100-1.414a=0
a= 100/1.414=70.72
Etch time
70/Etch rate of KOH per a hour
=70/31=2.26hour
Pattern size 400㎛
400-1.414a=0
a= 400/1.414=282.89
<100> Wafer
Etch time
282.89/Etch rate of KOH per a hour
=282.89/31=9.13hour
Q. 서은비
• 이방성 wet etchig의 경우 특정 면에서
etching selectivity가 월등히 차이가 나기
때문에 식각비율이 틀려지는 것으로 알고
있습니다. 이처럼 면의 방향에 따라서 식각
비율이 차이가 나기 때문에 식각후 surface
uniformity가 유지될 수 있는지 궁금합니다.
예를 들면, 식각 깊이를 깊게 할 경우에도
flat한 아랫면(100)의 surface uniformity는
어떻게 되는지요?
• 또한 wet etching에서 화학용액(liquid)을 사용하게 되면 전기소자에 데미지가 없는지도 궁금합니다.
2011/11/12 201083563 서은비
100um patterns by Si wafer etching
Wet etching VS Dry etching
# Wet Etching
# Dry Etching
- 식각용액을 사용, 화학적인 반응만으로 박막 식각
- 비등방성 식각 가능
- Selectivity 가 좋음
- 측면 침식이 거의 없음
- 고가 장비 필요 없음, low cost
- 식각 가공 resolution이 좋음 (1um 이하 가능)
- 한꺼번에 많은 기판 처리, productivity ↑
- Gas 사용으로 습식식각에 비해 상대적으로
- undercut 발생, 용액의 측면 침식으로
미세 pattern 구현이 어려움 (3um 이하 어려움)
- 화학약품의 과다 사용으로 환경문제 대두
깨끗하고 안전
- 물리적 충돌에 의한 식각도 일어나므로
완벽하게 특정 물질의 선택적 식각이 어려움
Dry etching
# Advantage
- Uses small amounts of chemical
- Isotropic or Anisotropic etch profile
- Directional etching without using the crystal orientation of Si
- High resolution and cleanliness
- Less Undercutting
# Disadvantage
- Some gases are quite toxic
- Need for specialized (expensive) equipment
- Re-deposition of non-volatile compounds
QQ. Wet & Dry etching (이승훈)
1. 반도체 공정 중 수업 자료에 있는 일반적인 Etching (Dry etching, Wet etching)
을 사용하지 않고 패터닝(patterning)하는 경우가 있는지 궁금합니다.
- Direct writing
- Printing
- Damascene Printing
2. 수업자료 중 Dry etching, Wet etching의 장점/ 단점이 있었는데, 각 단점을
보완할 수 있는 방법이 있는지 궁금합니다.
- 단점을 활용하는 용도 개발 (특수 형상, 고능률..)
-
Mechanism of dry etching
Vacuum
Chamber
Electrode
: 전자의 충분한 가속
Mean free path ↑
Electrode
Plasma
DC or RF
- 반응가스 도입 후, 용기 내 압력을 10-3~1 torr 유지한 상태에서 plasma 이용 식각
- 글로우 방전(Glow discharge)에 의한 plasma 형성
압력이 매우 낮은 용기의 양쪽 전극에 전력을 인가, 한쪽 전극에서 전자 방출
- 방출된 전자는 가스 입자와 충돌하여 plasma 형성
Atom elastic
collision
Excitation & Relaxation
Ionization
- plasma 중에 형성된 이온과 라디칼이 식각하고자 하는 박막과 반응하여 식각
Mechanism of dry etching
What is Plasma?
• The 4th state of material (e.g., Solid, Liquid, Gas, Plasma)
• Quasi Neutral State with Collectively behavior
• (‘Plasma’; 1927’ Nomenclature by Langmuir)
• Hot Plasma (e.g., ICP-AES
for analysis),
Cold Plasma (e.g., ICP, etc for etching)
• The Plasma Universe (99.9%)
Plasma state
Gas state
Ar
Breakdown
O2
Ar+
e-
O2+
e-
Equivalent flux density (/cm sec)
Plasma Surface Interactions
1022
10W/cm2
1020
1018
Ion beam modification
(implantation, surface treatment)
Film deposition
1016
1014
Etching
1012
1010
10-2
Plasma chemistry
100
accelerator
102
104
106
Kinetic energy (eV)
Thermal activation of
atom migration
Sputtering
Electronic excitation
Displacement of lattice atoms
Desorption
108
Increased Implanatation
sticking
2
Plasma Surface Interactions
General Plasma Generation
• Nonconductive material → Dielectric Breakdown → Conductive material
• High frequency electric field generate following reactions
Main Plasma Reactions
Electrode
Precursors
Recombination
Drift/Accelerating
- +
+
Ionization
Ion-molecule reactions
R
Optical emission
Dissociation
R
Radical-molecule reactions
R
Diffusion
 Excitation
 Dissociation
 Ionization
 Recombination
 Absorption
 Sputtering
Surface reactions
 Polymerization
Electrode
Evacuation
Plasma enhanced chemical etching
e+
Bulk Plasma
e-
+
+
+
e-
mask
(mask erosion)
+
volatile
product
Sheath
+
+
reactive ion
reactive species
e- electron
PR particle
+
sidewall
passivation
λD ~

p
<1 mm
e-
Substrate
e-
e-
e-
e-
Electrode
p
e-
e-
e-
x
 ( 0)  0
(0)  0
plasma
presheath sheath
Sheath 0
edge
s
w
Various Plasma Etching Reactors
Schematic configuration of several dry etching reactors;
(a) chemically assisted ion beam etching (CAIBE) reactor, (b) reactive ion etching (RIE) chamber,
(c) inductively coupled plasma (ICP) reactor, (d) electron cyclotron resonance (ECR) reactor.
ICP (Inductively Coupled Plasma)
# ICP Equipment
Gas Inlet
Ceramic Process Chamber
~
RF Matching
Unit
Plasma
Wafer/Sample
Process Height
Pumping Port
~
RF Matching
Unit
Helium Cooling
Gas Inlet
- 반응용기 외부에 coil을 감아 RF 전원 을 인가하면 패러데이의 전자유도법칙에 의해
coil에 유도자장이 발생하게 되고, 이에 따른 유도전자기장이 반응용기 내부에 형성
고밀도 plasma 가 생성
- Platen 의 RF 전원 은 plasma 를 substrate 로 당기는 역할
ICP (Inductively Coupled Plasma)
# ICP Equipment – remote plasma
~
Matching
Unit
Gas Inlet
Coil
Processing
Height
~
Matching
Unit
Helium Cooling Gas Inlet
Silicon plasma etching
Conventional Plasma Etching System
• High Density Plasma Source : ICP(DRIE), ECR, etc
• Relatively Low Density Plasma Source : RIE, Ion Milling, Sputter
• Advantages : HAR st., Relatively contamination free process, etc
• For MEMS HAR devices and structures, Optical applications, etc
ICP(DRIE) - STS
RIE
ECR-asher
RIE-PECVD
Silicon etching – RIE system
High rate Isotropic Oxide/Nitride/Si etch with good uniformity:
Thermal oxide etch rate ~ 818Å/min ± 5% across 6” wafer, sel to AZ6615 PR
~ 0.5:1
Single-crystal Si etch rate ~ 870 Å/min, sel to AZ6615 ~ 0.53:1
Adjust pressure or power for uniformity.
CF4 35sccm (or CHF3)
O2 3sccm
150mT
300W (on 240mm diameter electrode)
-579V
20C, graphite cover plate
Silicon etch using an SiO2 mask (150mT, 300W)
Silicon wet etching result
Si (100) for convex cornor
Henning Schröder, Ernst Obermeier, Anton Horn, and Gerhard
K. M. Wachutka, J. Micros. Sys., 2001, 10, 88
DRIE etch Principle
# Bosch process
- 1st step : Etch (SF6 gas)
- 2nd step : Deposit passivation layer (C4F8 → CFx 계열로 분해)
- 3rd step : Wallside polymer etch << Bottom side polymer etch
- 1st step again : polymer and Silicon etch (SF6 gas)
DRIE etch Principle
# Passivation step and Etch step
< Deposition step>
- Coil power ↑ ▶ passivation rate ↑
- Coil power ↑ , Wafer Temperature ↑ ▶
passivation rate ↓
∴ He back side cooling
< Etch step >
- Coil power, Gas flow ↑ ▶ SF6 로부터 F•
etchant species 많이 발생 ▶ etch rate ↑
- High pressure ▶ 높은 F• etchant species로
etch rate ↑
DRIE etch Principle
# repeat the etch and passivation steps
High Aspect Ratio Etch
# High Aspect Ratio Etch
High Aspect Ratio Etch
< Gas Turbine >
< Gyroscope >
< Fluidic Chennels >
< Optic switch >
Scallop effect
# Bosch process and scallop effect
< sidewall >
< scallop effect >
Scallop effect
# Bosch process and scallop effect
Mask undercut : 0.11um
Top Scallop : 47.6nm
< Top >
Bottom Scallop : 47.6nm
< bottom >
Scallop effect
# removal of the scallop effect
< before thermal oxidation >
< thermal oxidation after DRIE process >
< after oxidation removal >
Etch rate & Selectivity & Uniformity
# Etch rate
# Selectivity
In same Plasma Condition
EA = Etch Rate of Layer A
EB = Etch Rate of Layer B
SA/B : Selectivity of A to B
# Uniformity
Ei : Etch Rate at Several Points
Emax : Maximum Etch Rate
Emin : Minimum Etch Rate
Profile after DRIE
A
B
90-α
90+α
t
θ
d
(depth)
t = (A-B)/2
θ = tan-1 (t/d)
Range of Profile
← negative
anisotropic
positive →
Profile faults
# Bowing
< Bowing >
* Reason
- too high a platen power and too high pressure
- poor ion directionality
- secondary ion etching with ions that have bounced off the bottom of trench
(increasing deposition time, increasing the polymer gas flow, reducing the etch time)
※ usual solution
- to drop the platen power
- pressure reduction
Profile faults
# Undercut
Undercut
< Undercut by chemical isotropic etching >
※ solution
- reducing the total cycle time to as low as possible
(maintaining the same etch/deposition time ratio)
- etch rate가 높으면 undercut의 주된 원인이 됨.
but, undercut을 줄이려다 보면 etch rate가 낮아짐.
Microloading effect
* reason
- Pattern의 open된 area 차이에 따라 etch rate이 달라짐.
- 압력이 높고, Open Size가 아주 적을 때는 상대적으로 반응 부산물이 Wafer의 표면에서
머물게 될 확률 ↑ ▶ 식각 공정의 활성도 저해
* solution
- 반응 부산물 생성 억제 or 반응 부산물을 Wafer의 표면에서 제거
- 반응 부산물의 생성을 억제하는 방법은 selectivity 저하 등 또 다른 문제점 초래
- 후자의 방법 선택, 압력을 낮게 유지하는 방법을 사용
Notch (footing effect)
MASK
SILICON
NOTCH
OXIDE
1. Build-up of negative charge on side
walls and top of trench due to
isotropic electron flux
2. Reduced electron flux to base of
trench due to repulsion at top of
trench
3. Accumulation of positive ions on
insulator surface
4. Further positive ions deflected to
sidewalls =>Sidewall Notch.
※ solution
- Increasing deposition characteristic : polymer gas flow ↑
- Increasing dep time, decreasing etch time, reducing platen power
Notch (footing effect)
* Footing 현상의 mechanism
- 식각 gas의 양이온이 바닥에 충돌 후, 방전되지 못하고 남아있음
- 뒤따르는 양이온들과 척력을 발생, 원하지 않는 방향으로 발생 식각됨.
Notch (footing effect)
* 기판의 관통 공정시 최종적으로 드러나는 바닥면이 절연막이거나 유리기판인 경우
바닥 면에 전하의 charging이 일어나 식각 pattern 안쪽으로 식각이 확장
* 정확한 공정시간의 조절로 최소화해야 함.
* Microloading 현상으로 큰 pattern의 경우 필연적으로 나타남.
Back scattering effect
* DRIE etch through
공정에서의 footing 현상
Etching gas
Etching parameters
Etching parameters
Etching parameters
Etching parameters
Dielectric plasma etching
• Low Density Plasma : very well established process, C/O control
• High Density Plasma : HAR st., High etch rate, surface morphology
• Advantages : Anisotropic etching, HAR st., Simple process, etc
than Dielectric wet etching process
• For MEMS and Micro Optical parts devices and structures, etc
Requirements
Silicon etching
Silicon dioxide etching
5 - 500 um
1 - 50 um
~ 30
~ 10
Mask material
Photoresist,
silicon dioxide
Photoresist, silicon, metal
Etch-stop layer
Buried oxide
None
Etchant species
F* atoms
CFx+ ions
"Low"
"High"
Required etch depth
Aspect ratio
Required ion energy
Silicon Oxide etching mechanism (ICP)
RFEnergy
+
Ion
+
Deposition Layer (CxF y)
Reaction Layer
Wall Reaction
SiO2
Reaction Product
+
+
+
+
+
+
+
Silicon Oxide etching mechanism (ICP)
Chemical dominant reaction
SiF4
Ion Bombardment
- Energy transfer to surface
(O)
Etching reaction products
CO
CFx adsorption layer
Ion driven etching reaction
SiO2
Blocking film
(eg. C deposition)
• Low density plasma
3/4 SiO2 + CF3 = 3/4 SiF4 + CO + 2 O
-- Excess Oxygen atoms -> low
selectivity to PR
• Medium density plasma
1/2 SiO2 + CF2 = 1/2 SiF4 + CO
-- Optimum etching mode
• High density plasma
1/4 SiO2 + CF = 1/4 SiF4 + 1/2 CO + 1/2 C -- Carbon deposition -> etch stop
SiNx etch, (PR mask)
Courtesy of Cambridge Univ.
20 um Core etch, (Silicon mask)
Courtesy of Wavesplitter
Technologies Inc
6 um Core etch, (PR mask)
25 um Quartz Lens etch
Dielectric etching – RIE
CHF3 35sccm
Ar 15sccm
30mT
200W (on 240mm diameter electrode)
Etchrate : 345.13A/min
Selectivity : 7.2 : 1
Uniformity : 1.12%
Profile : 84.7deg
Metal plasma etching
• Chlorine and Inert gas based plasma used
• Advantages : Anisotropic etching, Simple process, etc
than Metal wet etching process
• For well defined metal pattern and reducing surface
contamination
Al - ICP etching
2.2um deep Al etch,
Vertical Profile,
No corrosion
Etch rate : 1500A/min
Selectivity : 2.5 : 1
Uniformity : <<9.66%
Cr - RIE etching
Cl2 : 60sccm
Etch rate:393A/min
O2 : 3sccm
Selectivity:6.6 : 1
Pressure : 200mtorr
Uniformity:3.48%
RF : 75Watts
Conclusion
1. Plasma etching is quite useful tool for fabricating ultra
high precision machining with few micron scale or below.
2. Various materials (e.g., Si, SiO2, Si3N4 and some metals) are
etched with vertical profile and quick and simple procedure.
3. For nano-scale fabrication one’s needs will require an unique
solution as an advanced plasma etching method.
김도연
• 수업 내용에서는 주로 실리콘에 대한 Etching 내용이었습니다. 추가적으로
사파이어에 대한 Etching 내용도 설명 부탁드립니다.