Quick Starting Guide to ModelSim SE 5.5a Verilog & VHDL Simulator By: Amir Masoud Gharehbaghi Email: [email protected] General Info. ModelSim is a VHDL and Verilog simulator by Model.

Download Report

Transcript Quick Starting Guide to ModelSim SE 5.5a Verilog & VHDL Simulator By: Amir Masoud Gharehbaghi Email: [email protected] General Info. ModelSim is a VHDL and Verilog simulator by Model.

Slide 1

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 2

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 3

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 4

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 5

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 6

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 7

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 8

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 9

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 10

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 11

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 12

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase


Slide 13

Quick Starting Guide to
ModelSim SE 5.5a
Verilog & VHDL Simulator
By: Amir Masoud Gharehbaghi
Email: [email protected]

General Info.
ModelSim is a VHDL
and Verilog simulator
by Model Technology
Inc.
www.model.com

Software Location
• The ModelSim SE 5.5a has been installed on 5
computers in site and can be run from Start menu
– Programs – FPGA Advantage 5.0 – Simulation
– ModelSim SE

How to Start Simulation?





Step 1: Create a new project.
Step 2: Add source files to your project.
Step 3: Compile the files.
Step 4: Simulate your design.

Step 1
1.

Select Create a Project from
the Welcome to ModelSim
screen that opens the first
time you start ModelSim. If
this screen is not available,
you can enable it by selecting
Help > Enable Welcome
(Main window).
You can also use the File >
New > Project (Main
window) command to create a
new project.

Step 1 (cont.)
2.

Clicking the Create a Project
button opens the Create
Project dialog box.

Step 1 (cont.)
3.

Specify a Project Name and Project Location. The location is where the
project .mpf file and any copied source files will be stored. You can leave
the Default Library Name set to "work," or specify a different name if
desired. The name that is specified will be used to create a working library
subdirectory within the Project Location. After selecting OK, you will see a
blank Project page in the workspace area of the Main window. You can hide
or show the workspace at any time using the View > Hide/Show
Workspace command.
The name of the current project
is shown at the bottom left corner
of the Main window.

Step 2
1.

Right click in a blank area on the Project page and select Add file
to Project. This opens the Add file to Project dialog. You can also
select Project > Add file to Project from the menu bar.

Step 2 (cont.)
2.

3.

Specify one or more files you want to add to the project. (The files
used in this example are available in the examples directory that is
installed along with ModelSim.)
For the files you're adding, choose whether to reference them from
their current location or copy them into the project directory.

Step 3
1.

To compile the files, right click in the Project page and select
Compile All. You can also select Project > Compile All from the
menu bar.

Step 3 (cont.)
2.

Once compilation is finished, click the Library tab and you'll see the
two compiled designs.

Step 4
1.

To simulate one of the designs, either double-click the name or right
click the name and select Load. A new page appears showing the
structure of the current active simulation.
At this point you are ready
to run the simulation and
analyze your results. You
often do this by adding
signals to the Wave window
and running the simulation
for a given period of time.

Need More Help?
• For more information and help about
working with ModelSim see the
ModelSim SE Bookcase