NoCAlert: An On-Line and Real

Download Report

Transcript NoCAlert: An On-Line and Real

NoCAlert: An On-Line and Real-Time Fault Detection
Mechanism for Network-on-Chip Architectures
Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, Yiannakis Sazeides
University of Cyprus
The Multicore Computer Architecture Laboratory (multiCAL)
Ξ - Computer Architecture Research Group (Ξ - CARCH)
EuroCloud FP7 Project
NoCAlert (MICRO-2012)
University of Cyprus International Symposium on Microarchitecture,
December 3 2012, Vancouver, Canada
1
Wrong is NOT Always Illegal
• In Networks-on-Chip, checking for legality is the
same as checking for correctness.
Let the values be wrong. As long as they are legal…
• But checking for legality is much simpler
• Extremely fast and efficient fault detection
• Instantaneous detection
University of Cyprus
NoCAlert (MICRO-2012)
2
Invariance Checking
• How to achieve this: Invariance Checking
• Inputs and outputs of the main components are constantly
monitored
• Extremely lightweight solution
• 3% Area Overhead
• 0.7% Power Overhead
• 1% Delay Overhead
• Scales efficiently to more
complex designs
University of Cyprus
NoCAlert (MICRO-2012)
3
Where and When
• 14:30 – 15:00
• Section IB – Fault Tolerance
We would be glad to see you there
University of Cyprus
NoCAlert (MICRO-2012)
4