Transcript Slide 1

NSF Workshop
Electronic Design Automation
Past, Present, and Future
July 8-9, 2009
Sankar Basu, Robert Brayton, and Jason Cong,
Purpose
This workshop was organized
1. to reflect on the success of EDA to see how
a) its practice can influence other fields of computer
science, and
b) its methodology can be applied to other application
domains, and
2. to review the progress made under the National
Design Initiative and evaluate what new directions
and topics should be added to the Initiative.
3. Counteract the notion that it is only engineering
4. Clarify to outsiders what EDA is
Organization
First Day –series of talks covering broad areas of EDA and
selected emerging technologies that might benefit from
EDA methodologies.
Second Day –
–
broke up into focus groups
1.
2.
3.
4.
5.
–
–
EDA Past, Present and Future Support.
Funding of EDA, Research Opportunities and Interaction with Industry.
EDA for Emerging/Adjacent Technologies.
Educational Aspects.
EDA and Theory.
groups answered focused questions and prepared summaries
reconvened to hear summaries
Follow up – Groups prepared extensive reports which were
merged into a final report http://cadlab.cs.ucla.edu/nsf09/
Talks
Keynote Talks
Ralph Cavin and Bill Joyner (SRC),
and Wally Rhines (Mentor
Graphics)
Prith Banerjee (HP)
Invited Talks
Sharad Malik, Princeton
Andreas Kuehlmann, Cadence
Arvind, MIT
Jochen A. G. Jess, Eindhoven
University (emeritus)
Carl Seger, Intel Corp.
Edmund M. Clarke, CMU
Shaz Qadeer, Microsoft
Tim Cheng, UC Santa Barbara
Rupak Majumdar, UC Los Angeles
Jaijeet Roychowdhury, UC Berkeley
Rob A. Rutenbar, CMU
Jason Hibbeler, IBM
Jyuo-Min Shyu, National Tsing Hua
University
Igor Markov, University of Michigan
Mary Jane Irwin, Penn State
David Z. Pan, UT Austin
Jim Heath, Caltech
Chris Myers, University of Utah
Lou Scheffer, Howard Hughes
Medical Institute
abstracts and .ppt slides of talks - http://cadlab.cs.ucla.edu/nsf09/
Keynote Talks
The Brave New Old World of Design Automation Research, Ralph Cavin Bill Joyner Wally Rhines
Future IT Infrastructure Research Challenges: An HP Labs View, Prith Banerjee
Invited Talks
The Future of Electronic Design Automation: Methodology, Tools and Solutions, Sharad Malik,
EDA - Electronic Design Automation or Electronic Design Assistance?, Andreas Kuehlmann,
Front-end SoC design: The Neglected Frontier, Arvind
EDA Challenges in Systems Integration, Jochen A. G. Jess
Is Today’s Design Methodology a Recipe for a "Tacoma Narrows" Incident?, Carl Seger
Statistical Model Checking of Simulink Models, Edmund M. Clarke
Deconstructing Concurrency Heisenbugs, Shaz Qadeer
Test and Validation Challenges in the Late-Silicon Era, Tim Cheng
A Faulty Research Agenda, Rupak Majumdar
Numerical Modeling and Simulation for EDA: Past, Present and Future, Jaijeet Roychowdhury
ANALOG CAD: NOT DONE YET, Rob A. Rutenbar
A Flat Earth for Design and Manufacturing, Jason Hibbeler
Collaborative Innovation of EDA, Design, and Manufacturing, Jyuo-Min Shyu
From Computability to Simulation, Optimization, and Back, Igor Markov
Working Around the Limits of CMOS, Mary Jane Irwin
More Moore’s Law Through Computational Scaling - and EDA’s Role, David Z. Pan
Robotics-Based Fabrication and Assay Automation for In Vitro Diagnostics Technologies, Jim Heath
Synthetic Biology: A New Application Area for Design Automation Research, Chris Myers
EDA and Biology of the Nervous System, Lou Scheffer
What is EDA?
•
•
methodologies, algorithms and tools, which assist
and automate the design, verification, and testing
of electronic systems.
a general methodology for refining a high-level
description down to a detailed physical
implementation for designs ranging from
–
–
–
•
integrated circuits (including system-on-chips),
printed circuit boards (PCBs) and
electronic systems.
the modeling, synthesis, and verification at every
level of abstraction.
Foundational Areas
• Verification/validation, model checking, and
testing
• Synthesis (logical and physical) research
• Programming language research
• Analog and mixed signal design
– Non-linear model reduction
Key EDA challenges
•
Scalable design methodologies
–
–
•
New classes of algorithms for scalability
–
–
–
–
–
•
•
synthesis,
validation/verification
Linear/sub-linear algorithms.
Incremental algorithms
Parallel algorithms.
Deterministic algorithms for parallel programs
Design for security – resilient to attacks
Dealing with new technologies
Designing with uncertainty and fragility
EDA Funding comparisons
NSF funding of academic EDA research
– Computer & Information Science & Engineering (CISE)
($8M-$12M)
– Electrical, Communications and Cyber Systems (ECCS) ($1$3M)
SRC funding of EDA
– $5M/year
SRC and DARPA Focus Research Centers – EDA part
– $4-5M/year
TOTAL – $18M-$25M/year
Total NSF funding in related areas
• CISE - $574M/year
• ENG - $693M/year
– Electrical Communication and Cyber - $125M/year
• Cyber Infrastructure - $199M/year
Total – $898M/year (EDA part ~1.3-2%)
Funding Comparisons
Taiwan
– SoC $70M/year (~35M is EDA support)
– Telecommunications $70M/year
– Nanoelectronics $100M/year
Total $240M/year
• EDA part? – 35M+/year
* academic grants have only a 5% overhead.
Funding Comparisons
Europe
– information and communication technology (ICT) - 1500M
Euro/year
– nanosciences, nanotechnologies, materials and new
production technologies - 575M Euro/year
– Electronics, Microelectronics part of EUREKA Consortium
– 310M Euro/year
– Cluster for Application and Technology Research in Europe
on NanoElectronics – 750M Euro/year
– ENIAC-JRT (500M Euro/year) supported 15 EDA projects
Total – Europe – 3,635Euro/year = $5,452M/year
• EDA part?
Emerging Areas and EDA technology
•
Biology systems
–
–
•
System biology
Synthetic biology
Emerging computing /communication /storage fabrics and
manufacturing substrates
–
•
Nano and flexible electronics
Analysis, characterization, and potential design of hybrid
electronic/biological systems.
–
•
Bio-neural systems and readouts
Cyber-physical systems.
–
•
Smart systems, real time
Datacenter design and optimization.
–
•
Energy and reliability in a dynamic workload
Software
–
Concurrency and scalability
Educational Challenges
EDA is very broad
– what to teach
– how to teach it
– when to teach it
Need to attract more students
Current EDA Climate
• Many EDA companies are hurting financially, and
– job opportunities are down.
– EDA summer internships are very tight.
• Venture capital for start-ups in EDA has decreased significantly.
– have served as major centers for research and development and employment
of PhDs.
• Faculty positions in EDA are tight,
• Difficulty in obtaining funding to support research and students.
• Student interest in EDA as a career has decreased in recent years.
– reduced industrial research efforts in EDA
– large system design companies have throttled back on the research
components of their activities.
• Transition of academic research to industry is much harder than before.
– technologies are more complex
– harder to get new ideas into the sophisticated and mature software offered by
EDA vendors.
Some Good News
•
•
•
•
•
•
EDA will not go away and cannot stagnate.
Cooperation between industry researchers and developers and university faculty
and students remains very high
As technology shrinks, the problems get harder, so not less but more EDA activity
is required.
EDA engineers are well paid, apparently better than most other types of engineers.
EDA training in its various disciplines, including complex and large problem solving,
will be valuable as new growth areas come into
Aside from the new emerging hot areas, EDA continues with its own hot areas,
–
–
–
–
–
–
–
system-level design
embedded software
design for manufacturing including lithographic and scaling problems
issues of robustness and unreliable components
parallelism, design and application of many core processors
application of probabilistic methods to enhance scaling of algorithms
new methods for derivative and incremental design.
Recommendations to NSF
Research Programs – new funding for:
1. mid-scale or large-scale research efforts that couple design with EDA.
2. joint research programs between research groups from universities,
commercial EDA companies, and large systems-houses.
3. shared infrastructure for design and design automation.
4. joint exploration of DA for emerging areas.
• cyber-physical systems.
• architecture and networking programs for data center design and optimization.
• software analysis
• scalable and more precise large-scale analysis,
• tools and methodologies to extract and manage concurrency.
• system biology and synthetic biology.
• DA for emerging computing/communications/storage fabrics and manufacturing
substrates (with Engineering Directorate)
5. interaction between
• DA and theory communities,
• DA and mathematical sciences.
Recommendations to NSF
Education Programs
1. Support for the development of a senior level EDA course.
•
•
•
•
emphasize the underlying algorithmic and theoretic foundations of EDA
motivate EDA’s breadth and flexibility with specific interesting applications.
materials broadly submitted by many faculties
materials available online.
2. Support from NSF to develop shared courseware infrastructure in EDA.
• Might utilize connexions (cnx.org), an open platform for course sharing.
3. An increased post-doc program to alleviate the lack of research positions
for new graduates.
• such a program was perhaps part of the stimulus effort, but quite limited and
not specific to EDA.
Recommendations to NSF
Collaboration with Industry
1.
An enhanced program to support longer-term faculty/industry interactions.
•
•
•
•
seeded by enhanced faculty stays in industry
visits by technical leaders from industry to academia.
enabled by matching NSF and industry contributions.
in Engineering Directorate there is a GOALI program
•
2.
similar program is needed for CISE.
An enhanced program to support EDA students working summers at
companies.
•
•
students physically at the company.
proposals would be joint effort between a faculty member and a company staff
person
could include small start-ups.
•
3.
A program to help faculty members and graduate researchers spin off startups to commercialize successful research projects.
•
•
similar to an SBIR program but more focused on EDA.
help cross over from a research paper or prototype to first customer adoption,
•
4.
then VCs or the large EDA companies could take over from there.
A program to help marry faculty to existing start-ups (related to the above).
•
encourage new ventures in EDA-type activities.
Estimated Cost of Recommendations
• $10-15M/year NEW funding
• Shared with engineering directorate
More Information
See
http://cadlab.cs.ucla.edu/nsf09/
for both the talks (titles and slides) and report.