Akademi Ligleri Rapor Girişi

Download Report

Transcript Akademi Ligleri Rapor Girişi

FGM
ULUSAL FUTBOL GELİŞİM AKADEMİSİ
LİGLER OPERASYONU VE KARŞILAŞILAN
SORUNLAR
UFGA
Olay - İhraç - Disiplin içeren
müsabakalarda hangi evraklar
faks olarak gönderilmeli ?
Müsabaka hakemi olay-ihraç- disiplin
raporu içeren bir Müsabaka raporu
hazırladıysa;
1-Hakem Raporu Sayfasını
2- Olay-ihraç –disiplin- Varsa Ek Rapor
Sayfasını
3- Her iki takımın oyuncu listelerini
Müsabaka sonrasında;0216 557 99 02
numarasına faks olarak göndermelidir. Ve
bu sayfalar Tek bir faks olarak ilgili
numaraya ulaştırılmalıdır.
UFGA
Müsabaka sonrasında
Müsabaka Raporu??
Müsabaka Raporu, Müsabaka
hakemi tarafından FYS
sistemine 1 gün içinde eksiksiz
olarak aktarıldıktan sonra bir
nüshası Müsabaka Hakeminde
kalmak sureti ile İlgili TFF Bölge
Müdürlüğüne Gönderilir.
Doğru zamanda FYS
aktarılmayan ve Bölge
Müdürlüğüne gönderilmeyen
Raporlar Sistemsel Sorunları
beraberinde Getirmektedir.
UFGA
•
Müsabaka Raporunun Futbol Yönetim Sistemine (FYS) Aktarımındaki Eksiklikler
•
•
•
•
Esame Listelerinin Sisteme Eksik aktarımı ve “Sözleşmesiz Oyuncu” Hatası
“Sözleşmesiz Oyuncu” Hatası;
Futbolcunun Lisans Numarasının
Sisteme Yanlış aktarılmasından
kaynaklanır.
Bu hata görüldüğünde Tümünü
kaydet seçeneği seçilmeden
önce mutlaka esame listesi ve
Lisans Numarası tekrar kontrol
edilmelidir
Aksi takdirde müsabaka,
Talimatlara aykırılıktan Avukat
aracılığı ile AFDK ya sevk
edilmekte olup ciddi sorunları
beraberinde getirmektedir.
UFGA
•
Müsabaka Raporunun Futbol Yönetim Sistemine (FYS) Aktarımındaki Eksiklikler
Oyuncu Değişikliklerinin Sisteme Eksik aktarımı ve Müsabaka Raporunda Anlaşılamaması
Müsabaka raporunda
oyuncu değişiklikleri
kısmının boş bırakılması
oyuncu değişim DK
larının bu denli önem
taşıdığı bir ligde problem
teşkil etmektedir.
Bazı müsabakalarda ihraç
olmasına rağmen
müsabaka raporunda
gözükmemekte sadece
disiplin raporu
düzenlenmektedir.
UFGA
•
Müsabaka Raporunun Futbol Yönetim Sistemine (FYS) Aktarımındaki Eksiklikler
Maç Raporu Girişi Bitti Kutucuğunun işaretlenmesi
Müsabaka Raporunun eksiksiz olarak sisteme aktarıldığına emin
olduktan sonra bu kutucuk mutlaka işaretlenmeli
Aksi takdirde; FYS üzerinden çalıştırılacak Ceza Sistemi bu
müsabakayı kapsamayacak ve sorunları beraberinde
getirecektir.
1
UFGA
Kritik Etkenler
Doktor Bulundurma;
Ek Rapor hazırlanması;
Gelişim Ligleri Statüsü gereği :Ev sahibi
kulüp, müsabakaların oynanacağı
saha ve tesislerin hazırlanması,
sahada bir doktorun hazır
bulundurulması ve gerekli diğer
hijyen, sağlık ve Güvenli ortamın
sağlanması ile yükümlüdür.
Müsabaka Hakemi Tarafından
Hazırlanacak Ek raporda tarih,
Müsabaka adı, ve olay detayı gibi
önemli etkenler mutlaka yer almalıdır.
Müsabaka hakemi Ek raporunu isim ve
ıslak imzası ile ilgili birime
göndermelidir.
Misafir Takımın Doktoru olmadığına dair
bir ek raporun hakem tarafından
hazırlanması sözkonusu olamaz.
Aksi takdirde; Ek rapor istenen konu AFDK
toplantılarına yetişememekte ve konu
ile ilgili karar alınamamaktadır.
UFGA
Kritik Etkenler
Müsabaka Raporları;
Müsabaka Süreleri ;
Müsabaka raporları İhraç-Disiplin-Olay
İçeriyorsa Fakslanmadan yada FYS ye
aktarılmadan asla Bölge Müdürlüğüne
Gönderilmemelidir.
Müsabaka sürelileri Gelişim ligleri Statüsünde yer
aldığı gibi;
Aksi takdirde sisteme aktarılmadan Bölge
müdürlüğüne gönderilen maç raporu
tekrar müsabaka hakemine
gönderilecektir. Bu işlem büyük zaman
kaybı yaratmakla beraber Sistemsel
sorunları beraberinde getirmektedir
U14 İçin: 35 dk dan oluşan 2 devre
U 15 - U 16 - U 17 için 40 dk dan oluşan 2 devre
şeklinde oynanmaktadır.
Müsabakalarda kaybolan süre uzatma
olarak eklenir ve oynanır.
UFGA
C. Mert BAŞOĞLU
UFGA Ligler Uzmanı
[email protected]
0216 321 78 93 / 102
0530 780 96 20
TFF-FGD / Beylerbeyi / İstanbul
FGM
ULUSAL FUTBOL GELİŞİM AKADEMİSİ
Teşekkürler…