Catania UNIT: Home page

Download Report

Transcript Catania UNIT: Home page

UNIVERSITÀ DEGLI STUDI DI CATANIA
Dottorato di Ricerca in Fisica – XX Ciclo
Daniele D’Angelo
Strain effects in Si and SiGe
during solid phase epitaxy
and defect formation
Tutor: Prof. Antonio Terrasi
Supervisor: Dr. Lucia Romano
Coordinatore: Prof. Francesco Riggi
Tesi per il conseguimento del titolo
In any moment you have,
fight, without hesitations,
to reach every idea, every dream,
remembering that,
though you have
the opportunity to attain
any finishing line,
it is just the beginning
for new conquests…
This thesis is dedicated to the patience and bravery of my family,
that continued to struggle even after discovering that also hope can end,
and named after the big willpower of my sister Manuela.
Cover: Cross sectional transmission electron microscopy image of a Si sample containing
two buried Ge-rich layers and nanocavities induced by He+ implantation. More details
can be found in Fig. 4.8b within this thesis, on page 113.
Strain effects in Si and SiGe during solid phase epitaxy and defect formation
Daniele D’Angelo
Ph.D. Thesis – University of Catania
Printed in Catania, 20th June 2008
You can always go deeper,
it is like to be submerged by water
and unable to breathe.
There, where the weight of all increases
and the darkness envelops everything…
But you can also precipitate
to the bottom of the ocean,
finding a way
to bring there a little light,
you can realize with surprise
that even in that place,
apparently so dark,
all colours of the world hide…
Those colours are life.
The light can be a friendship…
…I would be left in the dark if I had not met
that so nice and genuine of Isodiana.
I owe a lot to her and to the closeness,
the kindness and moments of joy
that Alberto and Viviana and their tiny baby,
the fruit of their always alive love,
donated to me,
and to the encouragements and the sweetness
of Elena, always present friend.
During these years, they have continually given me emotions and motivations,
filling not only my thoughts, but sometimes even those of my family.
My heart will not forget them.
INTRODUCTION
Introduction
Nowadays we can get in communication between different continents in
just a few seconds, transferring information, data, talking and seeing with other
people. In all industrialized nations we are surrounded by electronic equipment for
personal or common use that joined part of everyday life. The technology sector of
Si-based microelectronic is in a continuous crescendo of applications and use in
telecommunications, medical equipments, electrical appliances, transports,
computers and other.
Today, the growing demand to develop these instruments is reflected on
the need to improve the performance of microelectronic devices that make it up
minimizing their physical dimensions, storing an increased amount of data,
speeding up the capabilities of calculation and obtaining a more wide frequency
band for telecommunications and, especially, reducing production costs for a
wider use. In many occasions in order to achieve those objectives was crucial the
utilization of strained materials.
This thesis focus on two important issues concerning strain effects induced
by impurities in Si for the realization of microelectronic devices: ion implantation
and heteroepitaxy.
The understanding of phenomena linked to strain is a crucial point for
challenges in semiconductor technology. In fact, strained materials cover a
strategic role in the development of microelectronic devices.
The semiconductor material used for the early transistors was Ge.
Nevertheless, Si is of much easy availability and, consequently, less expensive. Ge
was replaced by Si in the realization of transistors after the advent of the first large
scale integrated circuits (IC) in 1958 and the implementation of the first metaloxide-semiconductor field-effect transistor (MOSFET), in 1960. In fact, Si has an
excellent oxide (SiO2) that presents a low density of electronic states, very good
dielectric properties, it is an excellent diffusion barrier and can be selectively
etched. Therefore, Si and SiO2 properties are the reasons for the implementation of
the MOSFET technology in the modern IC field.
Afterwards, the evolution of thin film deposition techniques such as
molecular beam epitaxy or chemical vapour deposition leads to the chance to
realize new materials by epitaxy starting from a Si substrate. Since 1951, Shockley
INTRODUCTION
suggested the possibility to change the energy gap forming alloys of
semiconductors. Varying the atomic percentage of the components of the alloy it is
possible to make devices with physical characteristics which cannot be achieved by
individual chemical elements. In this respect, the SiGe/Si heterosystem is a well
suited heterosystem, which can be considered as a kind of natural choice because
Si and Ge crystallize in the same diamond lattice and have very similar structural
and chemical properties, which helps epitaxial growth and the application of
standard Si processing technologies.
The advent of heteroepitaxy leaded to the quantum well transistor (often
referred to as high electron mobility transistor HEMT), the heterostructure bipolar
transistor (HBT) and the modulation doped field effect transistor (MODFET),
improving the performance of devices. Moreover, a strained SiGe thin film growth
on a Si substrate induces a further change in the band structure due to the break of
the degeneration of surface at constant energy along the directions lying on the
growth plane, showing the importance to understanding properties of strained
semiconductor thin films.
Historically, performance improvements in MOSFET have been attained
by shrinking device dimensions such as the gate length and gate oxide thickness.
Nevertheless, it is expected by 2016 the achievement of a minimum size (around
22x10-9 meters) beyond which it can not get off. New solutions have been studied,
as the incorporation of new materials, from the inter-connect level (as lowκ materials), to the gate stack (high-κ dielectrics and metal gate electrodes) to
overcome the physical limits and to improve the device performances. Moreover,
in the last decade, new substrates have been employed, such as silicon on insulator
wafers or tensile strained Si (ε-Si).
The strain modifies the transport properties of electrons and holes
improving the device performances. Heterostructures can be optimized to allow
mobility enhancement, with respect to Si, of a factor 2 for electrons (in tensile ε-Si)
and as high as 10 for holes (in compressive ε-Ge). Since a few years we assisted a
rapid growth in the study of ε-Si due to its potential ability to improve the
performance of very large scale integrated (VLSI) circuits. Especially, the mobility
improvement of p-channel MOSFET and p-channel MODFET is very much
attractive from the point of view of such device applications as complimentary
MOSFET (CMOS) circuits.
Today, Intel researchers have developed improved CMOS tri-gate (3-D)
transistors, which first integrate high-κ gate dielectrics and strained silicon to
produce record drive currents and transistor efficiency.
These results are based on the use of the state of the art epitaxial growth.
Nevertheless, along with the epitaxy, ion implantation is essential for IC
manufacturing and it is massively used. Semiconductor processes use ion
INTRODUCTION
implantation for almost all kind of doping in silicon ICs. The most implanted
species are arsenic, phosphorus, boron, boron difluoride, indium, antimony,
germanium, silicon, nitrogen, hydrogen, and helium. Ion implantation goes back to
the 19th century, and has been continually refined. The physicist Robert Van de
Graaff of the Massachusetts Institute of Technology and Princeton University, was
a pioneer in the accelerator construction, and the high-voltage technology that
emerged from this effort leaded to the High Voltage Engineering Corp. (HVEC) in
the late 1940s and 1950s. Yet, W. Shockley first recognized the potential of ion
implantation for doping semiconductor materials, and his patent application (in
1954) demonstrates a remarkable understanding of the relevant process issues long
before implantation entered mass production.
Ion-implantation equipment and applications gradually came together in
the 1960s. Experience gained in building research accelerators improved hardware
reliability and generated new techniques for purifying and transporting ion beams.
Theoreticians refined the hypothesis of ion stopping, which enabled the precise
placement of ions based on the energy and angle of implantation, and
experimenters determined that high-temperature post-implant annealing could
repair implantation-induced crystal damage or, at certain implanted element doses,
the amorphization of semiconductor crystal structure. Ion implantation rapidly
displaced thermal diffusion of deposited dopants as the dominant method of
semiconductor doping because it was more precise, reliable, and repeatable.
Therefore, many researchers made big efforts to study the Si solid phase
epitaxy regrowth (SPER) after ion implantation amorphization. The role of
hydrostatic and non-hydrostatic strain in the Si SPER mechanism was investigated
as well as the influence of dopant incorporation inducing a shift in the Fermi
energy of the semiconductor. Nevertheless, some experimental results indicate a
dependence of SPER rate on the strain.
This dissertation is a contribute to understand the influence of strain
induced by impurities incorporation in some essential process of Si based
technology.
In the first chapter the fundamental concepts and notations on the topics
concerning this dissertation (such as structure and main defects of solids, the
theory of elastic deformation of crystal, the electrical properties of strained or
relaxed Si and SiGe films and the amorphous-crystalline phase transition) are
introduced.
The aim of the experiment described in the second chapter is to
demonstrate that doping can independently affect the Si SPER rate by a change in
the electronic properties of semiconductor (the Fermi energy value) or by a change
in the strain induced by incorporation of dopant during SPER.
INTRODUCTION
In the third chapter the influence of an high biaxial strain value in Si SPER
(the case of heteroepitaxial SiGe/Si SPER) will be studied in detail showing how
difficult is to obtain high quality strained SiGe thin films on Si substrate by ion
implantation and subsequent SPER.
Finally, in the last chapter an original effect regarding the interaction
between impurity induced strain and He implantation induced cavities in Si will
be discussed. The localization of cavities in thin buried strained layers in Si will be
demonstrated and proposed to obtain relaxed SiGe layers suitable for the growth
of tensile Si or compressive pure Ge thin layers.
CONTENTS
Contents
CHAPTER 1
Si, Ge and SiGe alloys. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.1 Structural properties of Si and Ge solids . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
1.1.1 Crystalline lattice . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2
1.1.2 Intrinsic defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
1.1.3 Extrinsic defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7
1.1.4 Amorphous structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8
1.2 Strain in crystalline lattice . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.2.1 Elastic deformation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
1.2.2 Strain in SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13
1.3 Electronic properties of relaxed and strained Si, Ge and SiGe alloys . . . . . . . . . . . . 16
1.3.1 Energy band structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
1.3.2 Electronic properties of intrinsic Si or Ge . . . . . . . . . . . . . . . . . . . . . . . . . 17
1.3.3 Charged point defects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19
1.3.4 Electronic properties of doped Si and Ge . . . . . . . . . . . . . . . . . . . . . . . . . . 20
1.3.5 Electronic properties of SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
1.3.6 Strained Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .23
1.4 Amorphous-crystal transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
25
1.4.1 Solid phase epitaxy of intrinsic Si and Ge . . . . . . . . . . . . . . . . . . . . . . . . . . 28
1.4.2 Effects of impurities in kinetics of Si solid phase epitaxy. . . . . . . . . . . . . 31
1.4.2a Uncharged impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31
1.4.2b Charged impurities. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32
1.4.3 Strained Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35
1.4.4 Relaxed and strained SiGe . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37
CHAPTER 2
Low strain effects on Si solid phase epitaxy . . . . . . . . . . . . . . . . 39
2.1 SPER of doped and stressed Si. Open questions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40
2.2 Sample preparation and characterization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
2.2.1 Ion implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45
2.2.2 Rutherford backscattering spectrometry . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
2.2.3 Transmission electron microscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48
2.2.4 Spreading resistance profiling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
2.2.5 Strain of samples and X-ray diffraction. . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
2.3 Solid phase epitaxy characterization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
2.3.1 Time resolved reflectivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
2.4 Solid phase epitaxy of doped and strained Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57
2.5 Solid phase epitaxy of Czochralski and Floating zone Si . . . . . . . . . . . . . . . . . . . . . . 65
2.5.1 SPER rate of intrinsic Cz and Fz Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
2.5.2 SPER rate of p-doped Cz and Fz Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
2.6 Concluding remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
CONTENTS
CHAPTER 3
High strain effects on Si solid phase epitaxy . . . . . . . . . . . . . . . . 73
3.1 Solid phase epitaxy of strained Si1-xGex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
3.2 Sample preparation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
3.3 Defect nucleation during solid phase epitaxy of Si1-xGex thin films . . . . . . . . . . . . . . 81
3.3.1 Undoped Si1-xGex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
3.3.2 Doped Si1-xGex . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
3.4 Crystal-amorphous interface morphology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88
3.4.1 Undoped case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 90
3.4.2 Doped case . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
3.5 Concluding remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99
CHAPTER 4
Nanocavities localization in Si by strain engineering . . . . . . . 101
4.1 Strain engineering of Si, Ge and Si1-xGex layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.1.1 Relaxation of strained Si1-xGex layers . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.2 Ion implantation of He in Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.2.1 Cavities nucleation and growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.2.2 Relaxation of Si1-xGex by He implantation and thermal annealing. . . .
4.3 Localization of He induced nanocavities in Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3.1 The role Ge in Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3.2 The role of C in Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4.3.2a Cavities localization in Si layer with substitutional C . . . . . .
4.3.2b Cavities evolution in Si layer with displaced C . . . . . . . . . . .
4.5 Concluding remarks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
102
102
105
105
108
111
111
117
118
123
125
Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
List of publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134
Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135
Chapter 1
Si, Ge and
Si1-xGex alloys
Aim of this chapter is to provide the fundamental concepts and notations
on the topics concerning this dissertation.
The structure and the main defects of solids will be described in the first
paragraph, while the theory of elastic deformation of crystals will be illustrated in
the second paragraph. The electrical properties of strained or relaxed SiGe alloys
will be discussed in the third paragraph. Finally, the amorphous-crystalline phase
transition will be reviewed.
Si, Ge AND SiGe ALLOYS
1.1 Structural properties of Si and Ge solids
1.1.1 Crystalline lattice
Many solids possess an ordered internal structure. A three-dimensional
array of points, geometrically and repetitively distributed in the space, such that
each point has identical surrounding, composes the lattice. There are only fourteen
ways to arrange points in space having this property. The resulting point arrays
are known as Bravais lattices. A base of one atom or a group of atoms can be
associated at each Bravais lattice point. The composition of the lattice and the base
of atoms constitutes the crystal.
The identification of atomic positions requires a geometrical coordinate
system. Chosen an orthogonal set of axes (x, y and z) with an origin fixed in one
lattice point, each lattice point is identified with a triad (p,m,n) of integers numbers
by the vector r = px + my + nz. The unit cell is defined as the array of points which
reproduce the position of each lattice point, if repeated in the three directions of
space.
Crystals of Si, Ge and Si1-xGex have the crystalline structure of the
diamond. Its unit cell is composed of two face centred cubic (FCC) lattices shifted
one with respect to the other by the vector a(¼,¼,¼) (see Fig. 1.1). At room
temperature, the lattice parameters a of pure Si and Ge are 5.4309 [Win90] and
5.6579 Å [Bak75], respectively. Each atom of the diamond structure has four
nearest neighbours arranged in a tetrahedral configuration. This configuration
allows to complete the electronic octet structure of Si and Ge atoms.
Figure 1.1 – The unitary cell of the diamond lattice. The angle
in between the direction of bonds is 109° 47’. The parameter
lattice a is the distance between atoms along the edge of the
cube.
Crystal planes and directions must be specified because crystal growth,
deformation, defect incorporation and other properties are not the same on all
planes or directions. An atomic plane is identified with a triad of numbers (h,k,l)
2
1.1 Structural properties of Si and Ge solids
known as Miller indexes. They are obtained by determining the intercepts of the
plane on the three crystal axes in correspondence of the unit cell, taking the
reciprocals of those numbers and reducing they to the smallest integers by clearing
fractions. The corresponding atomic plane is indicated as (hkl). Crystallographic
directions are determined by the components of the vector connecting any two
lattice points A and B lying along one direction. When the components of the
resulting vector rA-rB are reduced to the smallest integer numbers having the same
ratio and placed within brackets [hkl], they are known as Miller indexes for the
direction in question. Some planes and directions of the cubic lattice, with their
Miller indexes, are indicated in Fig. 1.2.
Figure 1.2 – Some directions and planes of a cubic unitary cell [Ori01].
The distance d between two adjacent atomic planes can be determined by
the following relationship:
d=
a
h + k2 + l2
2
.
(1.1)
A reciprocal lattice can be associated to each Bravais lattice, by the
relationship:
ki⋅rj = 2π⋅δij,
(1.2)
where δij is the Kronecher symbol (equal 1 if i = j and 0 if i ≠ j), r is the vector of the
unit cell in the real space and k is the vector of the unit cell in the reciprocal space.
All possible vectors of the reciprocal lattice are gn = h⋅k1 + k⋅k2 + l⋅k3. The
relationship eig m ⋅u n = 1 holds. Each vector of the reciprocal lattice is perpendicular
to the plane of the real lattice determined by the same indexes. Therefore, the
direction [hkl] is orthogonal to the plane (hkl). It can be proofed [Kitt05] that the
triad of numbers (hkl) are the same Miller indexes described above. Therefore,
3
Si, Ge AND SiGe ALLOYS
coordinates of a reciprocal lattice vector define a family of parallel planes,
indicated by <hkl> (see Fig. 1.3).
Figure 1.3 - Atoms dispositions in the diamond lattice along the <100>, <110>
and <111> directions.
The set of primitive vectors of the reciprocal lattice individuates a region
that is known as first Brillouin zone. It is formed by bisecting the perpendicular
planes to the vectors of reciprocal lattice and considering the minimum volume
enclosed by these planes. In Fig. 1.4 the first Brillouin zone of the diamond
structure is shown. The association of a reciprocal lattice is due to facilitate the
description of structural and in particular of electrical properties that are closely
related to the disposition of atoms in a crystal.
Figure 1.4 – The first Brillouin zone with the most important points and
directions of symmetry [Sze69]:
G: 2π/a (0,0,0) – centre of the zone
L: 2π/a (½,½,½) – points of limit zone along axes <111> (Λ direction)
K: 2π/a (0,0,1) – points of limit zone along axes <100> (Δ direction)
X: 2π/a (¾,¾,0) – points of limit zone along axes <110> (Σ direction)
W: corner points
4
1.1 Structural properties of Si and Ge solids
1.1.2 Intrinsic defects
A perfect crystalline structure does not exist in nature because crystals
inherently possess imperfections. The presence of defects in a crystalline structure
influences the mechanical properties of solids. Crystalline defects are classified into
four categories according their geometry and dimensions:
1) point defects (zero-dimensional defects).
Any variation of the local structure of a crystal is called point defect. This is
a list of the most common point defects:
i)
Atom missing from a lattice point: vacancy (V);
ii)
Atom out from a lattice point: self-interstitial (I);
iii)
Interstitial creating a V, i.e. I-V pair: Frenkel pair;
iv)
Atom leaving a lattice point (i.e. forming a V) and moving to the
surface: Schottky defect;
v)
Two interstitial atoms lying near a lattice point: interstitialcy;
vi)
A pair of vacancies lying near a lattice point (Fig. 1.5): di-vacancy (V2).
Figure 1.5 – Schematic representation illustrating the reconstruction
of a neutral di-vacancy in its lowest-energy state [Est97].
2) line defects (one-dimensional).
An extra line or a missing line of atoms in the lattice forms a line defect,
also called straight dislocation (screw or edge). Other types of line defects are the
dislocation loops.
5
Si, Ge AND SiGe ALLOYS
3) area defects (two dimensional).
The stacking fault defect is a fault of one atomic plane. A twin defect consists
of two identical lattices reflected symmetrically with respect to one atomic plane.
The grain boundary defects are the interfaces where crystals of different orientations
meet.
4) volume defects (three dimensional).
Cluster of atoms can occupy the space of several lattice sites. This type of
defect is known as precipitate. If the cluster is formed by vacancies (Vs) is called
void.
In general, the presence of defects compromise mechanical and electronic
properties of materials. Nevertheless, some kind of defects have an important role
in controlling useful mechanisms, such as, diffusion or strain relaxation.
At any finite temperature under thermal equilibrium conditions, there is a
certain number of intrinsic defects in the crystal, so that a perfect crystal never
exist. A formation energy is attributable to the creation of each type of defect, due
to the local variation of the crystalline structure. The lattice distortion modify the
atom positions and, therefore, the bonding angles. The enthalpy Hf is the energy
required to form a defect in a crystal. But also the entropy has a positive variation
ΔSf, therefore, in some cases there is a gain of free energy of the system.
Minimizing the change of free energy formation (Gf) of the system
G f = H f − TΔS f
(1.3)
we can obtain that the concentration of a type of defect at the thermal equilibrium
is:
c eq = Nd ⋅ e
−
Gf
kT
,
(1.4)
where N are the number of lattice sites per volume unit and d are the degrees of
freedom of the defect in a lattice site. The formation energy of V and I in Si are
respectively GV = 2.0 eV and GI 3.2 eV [Bra95]. At 1000 °K the concentration of
neutral Is and Vs in Si are 1011 and 1015 cm-3, respectively. Afterward, the
concentration of intrinsic crystalline defects is always much smaller than the
atomic concentration of crystalline Si (4.99x1022 cm-3).
6
1.1 Structural properties of Si and Ge solids
1.1.3 Extrinsic defects
Another type of point defect is the presence of atoms of different chemical
species with respect to those of the crystal. These foreign atoms can reside in a
substitutional lattice site (substitutional impurity) or in interstitial positions
(interstitial impurity). The maximum concentration of substitutional impurities in a
crystalline solid is called solid solubility limit. It depends on the type of atoms and
on the introduction process. If the concentration of an introduced element exceed
the solid solubility limit, clusters of precipitates form distorting the lattice.
There are preferential interactions between some extrinsic and intrinsic
defects. For example, impurities can move through interaction with intrinsic point
defects of the material. Combining the continuity equation, which expresses the
conservation of the number of atoms during the transport of mass, with the first
Fick’s law [Tu92], which binds the flow of atoms to the concentration gradient by
the diffusivity D, we have:
∂c
∂J
∂ ⎛
∂c ⎞
=−
= − ⎜− D ⎟ ,
(1.5)
∂t
∂x
∂x ⎝
∂x ⎠
with J flux and c concentration of impurities. The diffusion is generally a thermally
activated process that follows an Arrhenius behaviour with the temperature:
D = D0 ⋅ e
−
EA
kT
,
(1.6)
with D0 = g0·a02, g0 diffusion attempt frequency and a0 interatomic distance. EA is
the activation energy for the impurities diffusion. In Fig. 1.6 the diffusion
coefficients in Si of few dopants elements are shown.
Figure 1.6 – Diffusion coefficients of some dopants
impurities in Si versus the temperature [RTI64].
7
Si, Ge AND SiGe ALLOYS
1.1.4 Amorphous structure
In some cases solids do not shown an ordered disposition of atoms in a
lattice and loose the long range order typical of crystalline structures. In
amorphous solids, atoms have only a short-range order, although preserving their
nearest coordination. The long-range order is suppressed by distortion of the ideal
bonding angles. The amorphous structure is described as a continuous random
network (CRN) of atoms [Pol73]. Therefore, the amorphous Si (α-Si) or Ge (α-Ge)
structure is formed by a repetition of six-membered rings accompanied by sevenand five-membered rings of atoms. A comparison between diamond and CRN
amorphous structures is shown in Fig. 1.7.
(a)
(b)
Figure 1.7 – The schematic arrangement of tetravalent atoms in the diamond crystal
structure (a) and in the amorphous structure (b), which is constitute of five- and sevenmembered rings mixed with the six-membered rings of atoms of a perfect diamond crystal
[Pel04].
The crystalline phase of diamond is energetically favoured with respect to
the amorphous phase (the amorphous-crystal phase transition will be discussed in
the paragraph 1.4). Nevertheless, an amorphous solid can be formed by several
methods. An amorphous layer is generated from a pre-existing crystalline
structure by ion irradiation when the free energy of the damaged crystalline phase
is higher than that of amorphous phase. Otherwise, it can be formed by several
deposition techniques such as physical vapour deposition (PVD) or sputtering.
A simulation of the α-Si CRN is generated by a computational model
consisting in the introduction of local rearrangements of bonds in the diamond
lattice weighted by a Maxwell-Boltzmann factor [Woo85]. However it predicts an
amorphous structure 3-4 % denser than the crystal [Woo87], contrary to
experiments. In fact, measurements of the atomic density show that α-Si is less
dense than c-Si of 10 % if realized by PVD, while is less dense of 1.76% if created by
8
1.1 Structural properties of Si and Ge solids
ion irradiation. This difference with respect the theoretical calculation means that
several types of defects reside in the amorphous structure compared to the perfect
CRN model, where all atoms are considered fourfold coordinated. Moreover, the
unequal atomic density between α-Si created by PVD and ion irradiation proves
that the history of formation significantly changes the type of defects that may
reside in the amorphous structure. The main types of defects that may exist in
amorphous structures are:
i)
Dangling bonds: threefold coordinated atoms (Fig. 1.8a);
ii)
Floating bonds: fivefold coordinated atoms (Fig. 1.8b);
iii)
Fourfold coordinated atoms with high bond angle distortion (Fig. 1.8c);
iv)
Voids.
(a)
(b)
(c)
Figure 1.8 - Dangling bond (a), Floating bond (b) and highly distorted fourfold coordinate (c)
defects. These structure have been obtained using first-principle techniques [Lee94].
The presence of this type of defects in the amorphous structure is
confirmed by several experiments. In fact, differential scanning calorimetry
techniques [Roo91,Roo89,Don89] show that at different temperature there are
different and not homogeneous release of enthalpy. This effect can be explained
with the presence of broad spectrum of enthalpies associated with different defects
that reside in the amorphous structure. Moreover, conductivity measurements of
α-Si at 77 °K demonstrate the presence of various defects with activation energies
between 0.3 to 2.7 eV [Cof93]. The presence of dangling bond (DB) affects the
conduction properties of amorphous solids because DB defects are able to capture
electrons reducing their flow creating trap levels [Bis97]. The H implantation is
usually used to electrically passivate DB defects [Tsu87].
9
Si, Ge AND SiGe ALLOYS
1.2 Strain in crystalline lattice
The properties described in the previous paragraph regard crystals under
thermal and mechanical equilibrium, with atoms arranged in a stable
configuration. Nevertheless, solids can be subjected to pressure, both applying
external mechanical forces or having internal stress, as during the epitaxial growth
of materials having different lattice parameters. Interatomic distances tend to
modify putting the crystal under stress. If displacements from equilibrium
positions are smaller than interatomic distances, the lattice will return to the
equilibrium condition when the stress is removed. In this specific case the theory of
the linear elasticity can be considered. If the applied stress exceeds a critical value,
the deformation in the crystalline lattice become a permanent distortion.
The elastic deformation of atomic positions modifies the lattice periodicity.
Consequently, physical properties can be varied differently with respect to the unstressed solid. Works presented in following chapters show how the control of the
elastic strain in Si, Ge and SiGe is of paramount importance in some key
technological applications.
1.2.1 Elastic deformation
Let {O,x,y,z} be a system of orthogonal cartesian coordinates associated to
a cubic lattice. Denote the stress in a body by σij. jth is the component of the force
per unit area on a plane whose outward-drawn normal is parallel to the positive
ith direction. There can be no net torque on the cube and each infinitesimal volume
element must be under mechanical equilibrium, so that σij= σji. If we denote with fi
the ith component of the body force per unit volume, the equation
∂σ ij
(1.7)
+ f i = 0 , for i = x,y,z
∂xi
j = x, y , z
∑
is valid because no net force can act on the cubic structure. Once stressed, the cubic
lattice deforms. At a point r of the crystalline lattice there is a displacement u with
components ui. The strain are defined as:
⎛
∂u j ⎞
⎟ , for i ≠ j
(1.8)
2 ⎜⎝ ∂x j ∂xi ⎟⎠
The first order of derivatives terms of stress and displacement are exact
only in the limit of smaller quantity, according to the theory of the linear elasticity.
Thus the stress depend only on the strain, according the first Hooke’s law [Hir68]:
(1.9)
σ ij = c ijklε kl
1 ∂u
ε ij = ⎜ i +
10
1.2 Strain in crystalline lattice
The coefficient cijkl are called elastic constants. From the relation of symmetry
εkl=εlk, it follows that cijkl = cjikl = cijlk = cjilk. Therefore, these two last conditions yield:
σ ij = c ijkl
∂uk
∂xl
2
and c ijkl ∂ uk + f i = 0
∂x j ∂x l
(1.10) (1.11)
When a unit volume element deforms reversibly by differential strain
increments dεij, the stress do work on the element by an amount
dEs = σijdεij = cijklεkldεij.
(1.12)
The Helmotz free-energy change for reversible transformation in the volume
element is dF = dE’ – SdT + σijdεij, where dE’ represents other work terms and S is
the system entropy. If work is restricted only by the pressure term (i.e. for
isothermal transformation and other work terms negligible), elastic constants are
related to F:
c ijkl =
∂2F
∂ε ij ∂ε kl
(1.13)
F being an exact differential function, the order of differentiation is irrelevant, thus
cijkl = cklij. The strain energy density function is determined by integration of the
equation 1.12:
1
Eε = c ijkl ε ij ε kl .
(1.14)
2
The matrix notation is commonly used to simplify the relationship
between stress and strain. The nine element of stress σij are related to the nine
elements εkl by the matrix Cijkl that have 9x9 = 81 elements. According the formula
1.13, elements are symmetric about the diagonal of the matrix Cijkl. The symmetry
on stress and strain discussed above lead to 21 the number of independent elastic
constants among the 81. Using the contracted matrix notation, where
ij or lk
11
22
33
23
31
12
32
13
21
are identify with
m or n
1
2
3
4
5
6
7
8
9
thus, i.e. for definition c11 ≡ c1111 and c25 ≡ c2231, Therefore, the matrix equation is
usually reduced to the 6x6 representation:
⎡σ 11 ⎤ ⎡ c11
⎢σ ⎥ ⎢c
⎢ 22 ⎥ ⎢ 12
⎢σ 33 ⎥ ⎢c13
⎢ ⎥=⎢
⎢σ 23 ⎥ ⎢c14
⎢σ 31 ⎥ ⎢c15
⎢ ⎥ ⎢
⎢⎣σ 12 ⎥⎦ ⎢⎣c16
c12
c13
c14
c15
c22
c23
c24
c25
c23
c24
c33
c34
c34
c44
c35
c45
c25
c35
c45
c55
c26
c36
c46
c56
c16 ⎤
c26 ⎥⎥
c36 ⎥
⎥
c46 ⎥
c56 ⎥
⎥
c66 ⎥⎦
⎡ ε 11 ⎤
⎢ε ⎥
⎢ 22 ⎥
⎢ε 33 ⎥
⎢ ⎥
⎢γ 23 ⎥
⎢γ 31 ⎥
⎢ ⎥
⎢⎣γ 12 ⎥⎦
(1.15)
where γij = 2εij is the shear strain, related to the angles of shear γij = φ1 + φ2.
11
Si, Ge AND SiGe ALLOYS
Others considerations for a network of atoms disposed into cubic
symmetry, leads to have only 3 independent elastic constants, and the matrix of
elastic constant boils down to:
⎡c 11
⎢c
⎢ 12
cnm = ⎢c 12
⎢
⎢0
⎢0
⎢
⎣⎢ 0
c 12
c 22
c 12
c 12
0
0
0
0
c 12
0
c 33
0
0
c 44
0
0
0
0
0
0
0
0
c 44
0
0 ⎤
0 ⎥
⎥
0 ⎥
⎥
0 ⎥
0 ⎥
⎥
c 44 ⎦⎥
and relations between stress and strain are:
σ 11 = c 11ε 11 + c 12 ε 22 + c 12 ε 33
σ 22 = c 12 ε 11 + c 11ε 22 + c 12 ε 33
σ 33 = c 12 ε 11 + c 12 ε 22 + c 11ε 33
σ 23 = 2c 44 ε 23
σ 31 = 2c 44ε 31
σ 12 = 2c 44ε 12
(1.16)
(1.17)
If the medium is elastically isotropic, only two independent elastic constants are
required. It can be demonstrated from coordinates transformations [Hir68], that
2c44 = c11 - c12
(1.18)
and only two elastic constants are independent. For anisotropic cubic crystals, the
factor A = 2 c44/(c11-c12) is called the anisotropy ratio. A = 1 is characteristic of an
isotropic medium. If A = 1, we express usually the stress-strain relation in term of
the two elastic constants of Lamè and shear modulus, λ and μ, as follows:
σ 11 = (λ + 2 μ )ε 11 + λε 22 + λε 33
σ 22 = λε 11 + (λ + 2 μ )ε 22 + λε 33
σ 33 = λε 11 + λε 22 + (λ + 2 μ )ε 33
(1.19)
σ 23 = 2 με 23
σ 31 = 2 με 31
σ 12 = 2 με 12
since
μ = c 44 =
λ = c 12
1
(c 11 − c 12 )
2
(1.20)
Some others useful relations among elastic constants are reported below.
The compressibility K, the ratio of the negative value of the dilatation u = ε11 + ε22 +
ε33 to the pressure P = -1/3(σ11 + σ22 + σ33), is
K=−
with B the bulk modulus.
12
u
3
1
=
=
P 3λ + 2 μ B
(1.21)
1.2 Strain in crystalline lattice
The Young’s modulus Y is the ratio between simple tensile stress and
strain, while the Poisson’s ratio v is the ratio of the transverse contraction to the
elongation in simple tension. Some useful relationship among them are
μ ( 3λ + 2 μ )
9μ
=
= 2 μ (1 + ν )
Y=
3B + μ
μ +λ
(1.22)
2νμ
λ=
1 − 2ν
with:
1
[σ 11 − ν (σ 22 + σ 33 )]
Y
1
= [σ 22 − ν (σ 11 + σ 33 )]
Y
1
= [σ 33 − ν (σ 11 + σ 22 )]
Y
1
σ 23
2μ
1
=
σ 31
2μ
1
=
σ 12
2μ
ε 11 =
ε 23 =
ε 22
ε 31
ε 33
c11
c12
c44
Si
165.8
63.9
79.6
ε 12
(1.23)
Ge
128.5
48.3
66.8
Table 1.a – Elastic constants of Si and
Ge at 298 °K, 1 atm, in GPa [Lan82].
1.2.2 Strain in SiGe
Si and Ge are totally miscible forming Si1-xGex solid solutions with x
ranging from 0 to 1. The lattice parameter of bulk Si1-xGex alloy in first
approximation varies linearly in between values of those pure materials (the
Vegard’s rule). However, more precise determinations of the lattice parameter of
Si1-xGex alloys have shown deviations with respect to the Vegard’s rule
[Dis64,Kas95]. There are corrections to the second and third order. The lattice
parameter of relaxed Si1-xGex varies with the concentration x of Ge with the
following experimental law:
aSiGe = aSi + 0.2009x - 0.027x2 Å,
(1.24)
where aSi = 5.4309 Å [Win90].
13
Si, Ge AND SiGe ALLOYS
However, it is possible to obtain a strained Si1-xGex film on a Si substrate,
by epitaxial growth. The term epitaxy means ordering above. The epitaxial growth
of thin films allows the control of the atomic composition on single atomic layers.
Starting from a substrate with a specific crystallographic orientation, the
deposition of atoms of the same species can reproduces the same lattice structure.
The process is called homoepitaxy or heteroepitaxy depending if the deposited
atoms are of the same chemical species of the substrate or not.
In microelectronics, epitaxial depositions are abundantly used, because
enable the creation of materials inexistent in nature, with new physical properties
(e.g. doped layers or alloys). For example, Si1-xGex alloys realized by heteroepitaxy
on a Si or Ge substrate vary the energy gap and, hence, the conduction properties
compared to those of the individual pure elements. However, when a material is
grown on a substrate that has a different lattice parameter, the deposited film
deforms adapting to the substrate. A deformation along the two axes of the growth
planes is generated and the film is under stress. As a consequence of the in-plane
applied stress, along the direction of growth the parameter lattice deforms. The
film under stress is called strained film. If a film is deposited with parameter lattice
largest (smaller) than the substrate, epitaxy will generate a compressive (tensile)
deformation of the in-plane film lattice parameter.
Figure 1.9 – The schematic representation of an heteroepitaxial structure.
Lattice parameters of substrate and relaxed film are as and af, respectively. The
deformation of afII, af⊥ are respectively the parameter lattice in-plane and along
the growth direction of epitaxial film.
The parallel and perpendicular strain deformation εII and ε⊥ are:
aIIf − a f
a⊥ f − a f
ε II =
and ε ⊥ =
af
af
(1.25) (1.26)
Where aIIf, a⊥f are respectively the parameter lattice in-plane and along the growth
direction of epitaxial film and af is the lattice parameter of the unstrained film. If
14
1.2 Strain in crystalline lattice
aIIf = as the parallel strain is equal to the percentage difference between the lattice
parameters of substrate and film. The misfit parameter f between substrate and
film is defined as:
as − a f
.
(1.27)
f =
af
The misfit between pure Si and Ge is f = 4.17%.
The Young’s modulus depends on the crystallographic direction of the
plane subjected to elastic stress
Y( hkl )ε =
⎤
⎡
C 11 + 2C 12
1
,
(C11 + C12 )⎢3 −
2
2
2 ⎥
2
⎣ C 11 + 2( 2C 44 − C 11 + C 12 )( h + k + l ) ⎦
(1.28)
where h, k and l are Miller’s indexes of the plane under elastic stress.
While it is possible to achieve any thickness of deposited material for
omoepitaxy, this is not possible for heteroepitaxy. According to the expression
1.14, an heteroepitaxial film of thickness h and misfit f accumulates an amount of
elastic energy equal to:
(1.29)
Eε = Y( hkl )ε ⋅ f ⋅ h
The film thickness can reach a limit value after which the formation of
extended defects will be energetically favoured and the lattice parameter will
recover its equilibrium value. The critical thickness, under which a film is strained,
depends on various parameters, such as temperature, growth rate, misfit and
process of epitaxy [Her89].
The values of lattice parameters, misfit and energy gap for some types of
semiconductor materials are shown in the following Fig. 1.10:
Figure 1.10 – Energy bandgap versus the lattice parameter of some semiconductors. Lines
indicate the alloys bandgap joining two pure semiconductor materials. The upper scale
indicates the misfit f with pure Si in per cent.
15
Si, Ge AND SiGe ALLOYS
1.3 Electronic properties of relaxed and strained
Si, Ge and SiGe alloys
1.3.1 Energy band Structure
The energy band structure of atoms disposed in a lattice can be obtained
solving the Schrödinger equation in the single electron approximation [Sze69].
Atoms of the crystal are arranged in periodic positions. They have the same
identical external electronic distribution. Therefore, the electrical potential U(r) can
be considered periodic with the same periodicity of the atoms disposition. This is
the Block’s theorem. The solution of the Schrödinger equation φk(r), known as
Block’s functions, are of the form:
r
rr
r
φ k ( r ) = e ik ⋅ r f k ( r )
(1.30)
with fk(r) is periodic for spatial translations in the direct lattice. The energy
eigenvalue of the Schrödinger equation E(k) are periodic for translation of a vector
of the reciprocal lattice. Consequently, E(k) = E(k+g). Then, all the energy solutions
can be determined only in the first Brillouin zone. All the other solutions can
simply find translating by a vector of reciprocal lattice. If you consider the various
lines of symmetry (Fig. 1.4) of the reciprocal lattice, we can determine the E(k)
curves along these directions that join the most important points of symmetry. To
obtain them, different calculation techniques exist in order to define the type of
periodic potential such as the orthogonalized wave-plan [Her55] or the pseudo
potential method [Phi58]. At certain values of k, some energy values are not
permitted, i.e. there is a forbidden energy gap (Eg). The gap is defined as the
distance between the minimum permitted energy value EC in the last occupied
band and the maximum energy EV in the band below. The former band is called
conduction band (CB) and the latter valence band (VB). The energy gap values at
room temperature for Si and Ge are 1.12 and 0.68 eV, respectively [Sze69]. The
band structure of Si and Ge are reported in Fig. 1.11. For crystalline Si, EV is in the
point Γ and it has a double degeneration. The greater curvature in the E(k) curves
are referred to heavy holes (higher effective mass value), the lower to light holes.
EC is along the direction Δ near the X point. For crystalline Ge EV is in the Γ point,
while EC is at the end of Λ direction (point L). Therefore, Si and Ge have indirect
energy gap because the momentum value of EC is different from that of EV. The Eg
varies with the temperature with the empirical law [Var67]:
16
1.3 Electronic properties of relaxed and strained Si, Ge and SiGe alloys
Eg = E0 −
αT 2 .
β +T
(1.31)
E0 is the EG value at 0 °K. For Si crystal, E0 = 1.1692 eV, α = 4.9x10-4 eV/°K and
β = 655 °K [Ale96], while for Ge crystal, E0 = 0.741 eV, α = 4.56x10-4 eV/°K and
β = 210 °K [Var67].
(a)
(b)
Figure 1.11 – Energy band structures of Si (a) and Ge (b) at room temperature [Kitt05].
The curvature of the E(k) dispersion curves are related to the effective mass
of electrons. The flow of electrons due to an applied electrical potential can be
described as a single electron that moves with mass mi*:
1
1 ∂ 2 E (ki )
=
mi* h 2 ∂ki 2
(1.32)
The value of the effective mass is related to the mobility of electrons and holes. The
mobility μ is the coefficient of direct proportionality between the speed of carriers
and the applied electric field.
1.3.2 Electronic properties of intrinsic Si and Ge
The number of electrons in the CB is expressed as:
∞
n = ∫ N (E)F(E)dE ,
(1.33)
Ec
with EC minimum energy value of the CB, N(E) is the density of states. It is defined
as the number of permitted states for a single energy value. It is given from the
expression:
N ( E) = M c
2(E − Ec )
π ⋅h
3
(med )3 ,
(1.34)
17
Si, Ge AND SiGe ALLOYS
with MC is the number of minima of the CB and med is the effective mass of the
density of states for electrons in the CB:
med = (m1* + m2* + m3* )
1/3
,
(1.35)
with m1, m2 and m3 are the effective masses along the principal axes of the
ellipsoidal surface at constant energy surface in the reciprocal space. F(E) is the
Fermi-Dirac distribution:
.
(1.36)
1
F (E) =
⎛ E − EF ⎞
1 + g exp⎜
⎟
⎝ kT ⎠
k is the Boltzmann constant (1.38x10-23 J/°K), EF is the chemical potential of
electrons also called the Fermi energy, T is the temperature, g is the degeneration.
The integration of the equation 1.33 give the following expression:
2
⎛ E − EC ⎞ ,
(1.37)
n = NC
F1 /2 ⎜ F
⎟
π
⎝ kT ⎠
Nc is the effective density of states in CB, defined as:
3
⎛ 2πmed kT ⎞ 2
N C ≡ 2⎜
⎟ MC .
2
⎝ h
⎠
(1.38)
F1/2 is the Fermi-Dirac integral. If EF–Ec << kT, the F1/2 value is:
πe
−
E − EF
2 kT
.
(1.39)
Similarly, we can calculate the number of holes in the VB, taking into account the
corresponding values, particularly for the effective mass mhd of holes in VB:
* 3 /2
)
mdh = (mlh* 3 /2 + mhh
2 /3
.
(1.40)
⎛ E − EF ⎞
F1 / 2 ⎜ V
⎟
π
⎝ kT ⎠
(1.41)
Therefore
p = NV
2
with
3
⎛ 2πmhd kT ⎞ 2 .
N V ≡ 2⎜
⎟
h2
⎝
⎠
(1.42)
At any finite temperature the creation of electron-hole pairs is promoted. However,
in thermal equilibrium n = p = ni. Therefore:
np = ni2 = N C N V e
− Eg
(1.43)
kT
For intrinsic Si:
⎛m m
ni = 4.9 x 10 15 ⎜ hd 2 ed
⎜ m
0
⎝
18
⎞
⎟
⎟
⎠
3 /4
− Eg
T 3 / 2 e 2 kT .
(1.44)
1.3 Electronic properties of relaxed and strained Si, Ge and SiGe alloys
1.3.3 Charged point defects
Intrinsic defects in a lattice exist in neutral or charged electronic states. In
Si, a V defect may be neutral (V0), positively charged (V+), or negatively charged
with single or double valence (V- and V-2) electronic state, while interstitials (Is)
may only be positively charged (I+) or neutral (I0) [Vec80]. Whereas the
concentration of neutral defects depend on temperature and pressure, the
concentration of charged defects depends of the Fermi energy, as shown for
vacancies in the following Fig. 1.12.
Figure 1.12 – The concentration of charged vacancy defects depends on the
Fermi energy value and they change with the temperature of the crystal [Vec80].
The ratio between concentrations of negatively [D-] or positively [D+]
charged defects and neutral defect [D0] are:
[D - ]
= g− ⋅ e
[D 0 ]
EF −E−
kT
and
[D + ]
= g+ ⋅ e
[D 0 ]
E+ −EF
kT
(1.44) (1.45)
where E-, E+, g- and g+ are the energy levels and degenerations.
The formation of charged defects vary the total number of defects in the
crystal, but the number of neutral defects depends only to the temperature and
pressure of the crystal. Defect concentration values are very small compared to the
concentration of atoms in crystals (4.99x1022 cm-3 and 4.42x1022 cm-3 for Si and Ge,
respectively). However, a high concentration of point defects brings the crystal out
of the equilibrium as, for example, after the ion beam irradiation. Ionized
impurities enter into the crystal transferring their energy to atoms of lattice
displacing them from the lattice sites.
19
Si, Ge AND SiGe ALLOYS
1.3.4 Electronic properties of doped Si and Ge
The incorporation in Si or Ge crystals of trivalent (B, Ga) or pentavalent (P,
As, Sb) elements in substitutional sites modifies the electronic properties of the
material because of changes of the carrier populations. In general, any element
introduced in the crystalline structure has a well-defined energy level. The
simplest calculation of energy levels of impurities can be done in terms of the
hydrogen atom model [Sze69]. The ionization energy for the H atom is:
Eion =
m0 q 4
32πε 02 h 2
= 13.6 eV ,
(1.46)
with m0 and q mass and charge of electron, ε0 dielectric constant of vacuum. For a
donor, the ionization energy can be calculated inserting the effective mass of
electrons in the Si CB:
⎛ 1
1
1
m ce = 3⎜ * + * + *
⎜m
⎝ 1 m2 m3
⎞
⎟
⎟
⎠
−1
(1.47)
and the dielectric constant ε of the semiconductor:
2
⎛ε ⎞ m
(1.48)
E d = ⎜ 0 ⎟ ce Eion .
⎝ ε ⎠ m0
Similarly, we can calculate the ionization energy levels for acceptors
considering the corresponding hole effective mass value in the VB. In Fig. 1.13 the
ionization energy level measured for some elements in Si and Ge are reported.
Figure 1.13 – Ionization energy measured for some impurities in Ge and Si.
Energy levels below (above) the centre of the prohibited energy gap are measured
with respect the EV (EC) value [Sze69].
20
1.3 Electronic properties of relaxed and strained Si, Ge and SiGe alloys
The charge neutrality conditions of intrinsic materials requires that p = n,
but generally ionized impurities give a certain amount of electrons or holes in CB
and VB, respectively. The condition of neutrality charge become:
n + N A− = p + N D+ ,
(1.49)
where the density of ionized donors and acceptors can be calculate from the FermiDirac statistics:
N D+ =
NA
ND
and N A− =
⎛ E − EF ⎞
⎛ E − Ed ⎞
1 + g A exp⎜ d
1 + g D exp⎜ F
⎟
⎟
⎝ kT ⎠
⎝ kT ⎠
(1.50) (1.51)
ND and NA are the impurity concentrations introduced in the lattice; gD and gA are
the degeneration of the impurities energetic levels. In the case of p-type doping,
N D+ can be neglected, therefore the condition of neutrality charge become:
⎛ E − EC
N C exp⎜ F
⎝ kT
ND
2 NV
⎞
⎛ E − EF
+
F1 / 2 ⎜ V
⎟=
E
−
E
⎛
⎞
π
⎠ 1 + g exp F
⎝ kT
d
⎜
⎟
A
⎝ kT ⎠
⎞
⎟,
⎠
(1.52)
knowing NC, NV, ED, EC and EV, this equation can be solved graphically to
determine the Fermi level in the case of p-type doping. When - 4 kT << EV–EF << 10
kT, the F1/2 integral can be calculated in an approximated polynomial solution by
the expression of Bednarczyk [Bed78] with a maximum error of 0.4%.
With
E − EF
η= V
kT
and
{
[
a(η ) = η 4 + 33.6η 1 − 0.68 exp − 0.17 (η + 1)2
] }+ 50 :
−1
F1/ 2 (η ) ≈
1
⎡3
⎤
π ⎢ π a −(3 / 8) (η ) + exp(−η )⎥ .
2
⎣4
⎦
(1.53)
Expressions 1.52 and 1.53 will be used in the next chapter to determine the Fermi
energy of p-doped Si films.
21
Si, Ge AND SiGe ALLOYS
1.3.5 Electronic properties of SiGe
The value of the energy gap of stressed or relaxed crystal is different. Fig.
1.14 shows the energy gap for un-strained Si1-xGex where we note that it does not
vary linearly with the percentage of Ge. At Ge concentration of about 80 %, there is
a abrupt change of slope on the energy gap behaviour. The reason lies in the band
structure of the two pure materials.
Figure 1.14 – Energy gap in eV of bulk (unstrained) and
strained Si1-xGex films versus the Ge content in the alloy
[Sch97].
The surface with constant energy forms six ellipsoids in the reciprocal
space both for Si and Ge. However, while the value of maximum of the VB is at the
Γ point of the first Brillouin zone for both elements, the minimum value of energy
allowed in CB is situated along different directions and, respectively, Δ for Si and L
for Ge. Therefore, in the Si1-xGex alloy up to 80%, the minimum value of the
permitted energy in the CB is along the direction Δ. For x > 80% it is on the
direction Λ, causing the change in slope of the Egap.
For stressed Si1-xGex grown on Si, we note that Egap is less than that of the
relaxed alloy because the strain breaks the six-fold degeneration of ellipsoid with
constant energy, lengthening (restricting) along the direction of strain and
restricting (lengthening) along the direction of growth for compressive (tensile)
stress (see Fig. 1.15b). Therefore, the double degeneration in VB in Γ point due to
heavy and light holes breaks and there are a split of energy.
22
1.3 Electronic properties of relaxed and strained Si, Ge and SiGe alloys
(a)
(b)
(c)
Figure 1.15 – Under biaxial compressive strain (a), the sixfold degeneracy of surfaces with constant
energy in reciprocal space is broken (b), and the four out-of-plane valleys (Δ4) become preferentially
occupied with respect to the two in-plane valleys (Δ2) [Shi05].
1.3.6 Strained Si
When Si is deposited onto a relaxed Si1-xGex substrate, the Si film will be
under tensile strain on the plane. While compressive strained Si1-xGex shows an
increase of the hole mobility, especially for pure Ge that have effective masses in
VB very small with respect to those of Si (see table 2.b), tensile Si shows a
considerable increase of the electron mobility [Lee05].
(a)
(b)
(c)
Figure 1.16 – Si layer under biaxial tensile strain (a), the sixfold degeneracy of surfaces with constant
energy in reciprocal space is broken (b), and the corresponding split of the energy levels is shown in the
right side (c) [Shi05].
Table 2.b – The values of masses for carriers in Si and Ge. mt and ml are the transversal and
longitudinal masses of electrons in the conduction bands, respectively. mhh, mlh and mso are
masses relative to the heavy-hole, light hole and spin-orbit split band, respectively [Fis96].
23
Si, Ge AND SiGe ALLOYS
The variation of EV and EC with the strain (band offset) for tensile Si grown
on relaxed Si1-xGex vary with the value of the parallel strain [Lee05]:
ΔEV = (0.74 – 0.53x)x eV and ΔEC = -0.004x eV.
(1.54) (1.55)
Moreover, various methods to calculate variations of energy gap and effective
masses for holes and electrons in CB and VB of Si or Ge under biaxial stress were
proposed [Fis96,Sch97]. Without further theoretical considerations, in the
following graphs the behaviour of these quantities are reported using non local
empirical pseudopotentials calculations [Fis96].
Figure 1.17 – Variation of energy band diagram of Si and Ge as a function of the misfit value
along the [001] direction [Fis96], a0 is the lattice parameter of relaxed Si (a) or Ge (b). The
energy is set zero at the top of VB for relaxed layer.
Figure 1.18 – Calculated curvature electron masses, open symbols for Si (a) and Ge (c), and hole
density-of-states effective masses, open symbols for Si (b) and Ge (d), as a function of biaxial inplane (100) strain [Fis96]. The solid symbols are experimental results from the compilation of ref
[Lan82].
24
1.4 Amorphous-crystal transition
1.4 Amorphous-crystal transition
Several solid phases of pure elements and compounds can coexist in a
certain range of pressure and temperature. Otherwise, one phase can be
energetically favourite. For instance, at room temperature (RT) and atmospheric
pressure, the amorphous phase of Si or Ge is metastable because the minimum
energy configuration is the crystalline phase, where atoms are arranged in the
diamond lattice [Don83]. However, a layer of α-Si can be realized out of the
equilibrium conditions by several deposition technique such as chemical vapour
deposition [Kam78] or sputtering [Bro70], e-beam evaporation [Rot77], or by ion
implantation of pre-existing crystalline Si films [May70]. α-Si layers can be also
made by ultrafast processes which require a rapid change in temperature, such as
quenching of a liquid phase on a cold substrate [Tu92].
A gain of Gibbs free-energy occurs at the amorphous-crystal (α-c)
transition in Si [May68], as showed in the following Fig. 1.19, the Gibbs free energy
of the c-Si is always lower than α-Si at any temperature, also above the c-Si melting
temperature. Fig. 1.19 shows the calculation by Donovan et al. [Don85] of the Gibbs
free-energy difference ΔG for α-Si and liquid Si phases relative to the free-energy of
c-Si.
Figure 1.19 – Phase diagram for Si, Gibbs free-energy difference for
crystal, liquid and amorphous phases as a function of temperature
[Don85].
Thus, even though the α-c phase transition is thermodynamically favoured
at RT, it does not occur in practice because the kinetics is so sluggish that for all
practical purposes α-Si is stable. The transition can be accelerated at higher
25
Si, Ge AND SiGe ALLOYS
temperatures by providing enough energy to form ordered arrangements of atoms
(cluster) that act like nucleation centres of the crystalline phase. The system freeenergy is lowered by forming ordered clusters of atoms in tetrahedral
configuration, while the ordering is accompanied by free-energy increase due to
the distortion of bonds at the surface between the crystalline clusters and the
amorphous matrix. When some clusters exceed the critical size for which the total
free-energy due to the difference between incorporation of more atoms and the
relative increase of surface free-energy is negative, the cluster size growth becomes
energetically favoured. This mechanism is called random nucleation and growth
(RNG) [Tu92,Ols88]. Starting from an α-Si layer, crystalline nuclei form leading to
a progressive conversion from an amorphous layer to a polycrystalline Si (see Fig.
1.20).
Figure 1.20 – The schematic representation of random nucleation and growth mechanism of c-Si.
Starting from a piece of α-Si, nuclei of ordered atoms casually form. Some clusters overcome the
critical size where the free-energy of system decreases adding an atom to the ordered cluster. At the
end of the process, a piece of polycrystalline Si is generated [Ols88].
Another case of amorphous-crystal transition of particular interest for the
studies presented in this dissertation is the solid phase epitaxy re-growth (SPER)
[Cse75]. It occurs when an amorphous layer is in contact with a crystalline
substrate (see Fig. 1.21). The crystalline substrate acts as a seed for the α-c
transition, which goes on layer by layer. At the end of the α-c transition, a monocrystalline structure with the same orientation of the crystalline matrix is
generated.
A thin amorphous layer can be created on a crystalline substrate by ion
implantation or by several deposition techniques. Ion implantation technique
provided a better control of the introduced impurities and imperfections in the
irradiated film with respect to deposition [Ols88]. In addition, ion implantation
allows to obtain a clearly defined c-α interface, which is required to reduce the
formation of microscopic defects in the crystalline structure during the regrowth.
26
1.4 Amorphous-crystal transition
The Si SPER is kinetically favoured for annealing temperature greater than
450 °C [Cse76,Cse77,Kenn77,Cse78,Ols88], while this lower limit is about 300 °C for
Ge [Cse77,Lu91].
Figure 1.21 – schematic representation of solid phase epitaxy re-growth.
The SPE regrowth of Silicon originates the interest of semiconductor
research due to the presence of α-Si phase during some processing steps involved
in the manufacturing of integrated circuit. Precisely, pre-amorphization of the Si
substrate is carried out prior to the implantation of the dopants, since this
procedure reduces anomalous diffusion and enhance dopant activation [Ols88]
because the implanted impurities are incorporate into substitutional sites of the Si
crystalline lattice during the re-crystallization of the amorphous layer even for
temperatures lower than those of diffusion of these elements (less than about 625
°C, see Fig. 1.6).
Various mechanisms to explain the Si SPER process have been proposed
[Cse77,Nar82,Wil83,Lu91]. All these theories were inspired by various
experimental observations, and so far there is no a unique theory that can totally
explain all the effects, but only some of them in certain conditions. All these
theories are essentially addressed to explain the kinetics of the SPER process and to
determine of the speed of the c-α interface. The main techniques to determine the
SPER rate will be described in the next chapter, in particular the time resolved
reflectivity (TRR) [Ols88] which is widely used in many experiments presented in
this dissertation.
27
Si, Ge AND SiGe ALLOYS
1.4.1 Solid phase epitaxy of intrinsic Si and Ge
The SPER mechanism of intrinsic Si is a thermally activated process that
starts at temperature of about 450 °C [Cse75,Cse78]. Csepregi and co-worker used
furnace annealing and RBS-ion channelling to study Si implanted layers.
Systematic study was performed by Olson and Roth [Ols88] using furnace or laser
annealing and TRR measurements in situ. They reported Si (100) SPER rate:
v = v0 ⋅ e
−
EA
k⋅T
(1.54)
which is an Arrhenius plot in a temperature range in between 450 and 1350 °C. The
activation energy is 2.68 ± 0.05 eV and the pre-exponential factor is 3.1 x 108 cm/s
in the range of SPER rate between 10-3 and 105 nm/s [Ols88]. This experimental
behaviour suggests the intrinsic Si (100) SPER mechanism is the same over the
entire investigated temperature range. Also the Ge (100) SPE re-growth rate
follows an Arrhenius behaviour, with an activation energy of about 2.0 - 2.2 eV and
v0 = 1.2 x 109 cm/s [Cse77,Lu91]. Fig. 1.22 shows the temperature dependence of Si
and Ge SPE rate. Usually, the Si c-α interface moves with velocity of 0.1 nm/s at
temperature of about 546 °C. At 600 °C the SPER rate is nearly 1 nm/s, while at
temperature of 450 °C is less than 0.001 nm/s. Below this temperature the SPER is
so sluggish that practically it can be neglected. Ge (100) SPE rate is 0.1 nm/s at
temperature of about 380 °C, depending on the type of ion used to generate α-Ge
layer).
Figure 1.22 – SPER rate of Si (100) and Ge (100) versus annealing temperature. Data of
“This work” (unfilled circles) are referred to the work of Lu et al. [Lu91,Ols88,Cse77].
Other experimental evidences concern the SPER rate dependence on
orientation of the initial c-α surface (see Fig. 1.23). Indeed, if the SPER takes place
along the direction normal to Si (110) plane (to the (111) plane), then SPER rate is
seven (twenty-five) times slower with respect those of the Si (001) [Nar82].
28
1.4 Amorphous-crystal transition
Figure 1.23 – Plot of the SPER rate versus substrate orientation angle from the <100>
direction a for a series of samples cut along the directions indicated by the lines [Cse77].
Many theories have been proposed to explain the SPER mechanism of Si.
Germain et al. [Ger83] supposed a mechanism mediated by diffusion of charged
dangling bonds (DB), residing in the α-Si, toward the c-α interface. The DB
incorporation at the c−α interface causes a variation in the coordination number
and energetically favours the SPER mechanism. On the contrary, Csepregi et al.
[Cse77] considered the rearrangement of bonds at the c-α interface mediated by
defects residing in the crystal to explain the SPER mechanism. Nevertheless,
theories of the SPER mechanism based to the diffusion of defects residing in crystal
(vacancies or interstitials) fail. In fact, a long-range diffusion-limited crystal growth
process would usually expect to yield parabolic growth kinetics, while the Si SPER
rate is constant from surface to bulk for several micrometers. For this reason, also
theories involving defects residing in amorphous phase are not plausible, because
it has been reported that SPE rate is not affected by pre-heating α-Si (producing the
α-Si relaxation) [Lu91].
Figure 1.24 – The free energy diagram versus the configurational coordinate for
breaking, moving and trapping of a pair of dangling bonds [Lu91].
29
Si, Ge AND SiGe ALLOYS
To explain the Si SPE rate behaviour along the [111] direction, Speapen
[Spa78] supposed that the α-Si structure agrees to the CRN disposition. At the c-α
interface there is a bonds rearrangements process that starts with the breaking of a
single bond creating a pair of dangling bonds and continues as DB defects
propagate along the crystalline ledge. This mechanism was extended to Si (100) by
Saito and Odhomari [Sai84].
In this theory (see diagram of Fig. 1.24), a single bond in the c-α interface
breaks to form a pair of dangling bonds, each of which migrates independently,
moving a net distance of nr migration steps and converting, after r jump, nrr atoms
from amorphous to the crystal phase, before becoming annihilated. The rate of the
process is given by
v = FL PDB vDB
(1.55)
where FL is the fraction of bonds at the interface that reside at a ledge, which is
equal to the sine of the angle θ between the interface and the (111) plane; PDB is the
probability that such a bond is dangling, precisely the ratio between the number of
dangling bond and the total number of bonds in the c-α interface; <vDB> is the
average velocity of DB at the c-α interface. It is determined by the difference
between average forward (k+) and backward (k-) DB hopping rates. Assuming the
distance a of each hope equal to one nearest neighbour and considering the
transition state theory [Spa82]:
⎛
⎛ ΔG m − ΔG 0
⎛ ΔG m ⎞
vDB = a( k + − k − ) = aν ⎜ exp ⎜ −
− exp⎜⎜ −
⎟
⎜
kT
⎝ kT ⎠
⎝
⎝
⎞⎞
⎟⎟
⎟⎟
⎠⎠
(1.56)
where ΔGm is the average barrier to DB migration and ΔG0 is the standard Gibbs
free-energy change per atom crystallized, defined to be negative for crystallization.
The hopping frequency ν can be expressed as the ratio between the speed of sound
vs and the interatomic distance a. The Arrhenius behaviour of the measured SPER
rate (1.54) implies that k- < k+, which means ΔG0 >> ΔGm. The probability to find a
dangling bond at particular site can be expressed by
⎛ ΔG f ⎞ ,
(1.57)
⎟
P = 2n exp⎜ −
DB
⎜
⎝
r
kT ⎟⎠
with ΔGf the free-energy to form a pair of dangling bonds. The final relation of the
Si SPE rate is given by combining 1.57 and 1.56 into 1.55:
⎛ ΔG f + ΔGm ⎞
⎜−
⎟
⎜
⎟
kT
⎠
e⎝
v = 2nr vs sen(θ )
,
(1.58)
which is equivalent to the experimental expression 1.54. It explains the Si SPE rate
dependence for the substrate orientation that is reported in Fig. 1.23.
The theory till now described explains many of the observed behaviour of
intrinsic Si. However, it fails to explain the effects related to the presence of
impurities and stress.
30
1.4 Amorphous-crystal transition
1.4.2 Effects of impurities in kinetics of Si solid
phase epitaxy re-growth
1.4.2a Uncharged impurities
Another interesting effect regards the contamination of ion implanted Si
with C, O, N or noble gases like Ar, Kr or He at peak concentration of 0.5 at. %
[Ken77]. The presence of these elements reduces the Si SPER rate by decreasing the
number of available sites for SPER, in fact a reduction in the pre-exponential factor
of the formula 1.54 was noted, while the activation energy is not strongly affected.
Indeed, their presence can easily induce the formation of planar defects during the
SPE, such as twins and stacking-faults if the implanted dose is higher than about
1x1015 cm-2 (depending, however, on the implantation energy). Fig. 1.25 shows the
trend for Si SPE rate with the O concentration.
Figure 1.25 – Plot of the regrowth layer thickness of α-Si implanted with 16O and annealed
at 550°C versus annealing time. The dashed line indicates the regrowth thickness of
intrinsic Si for comparison. The calculated O profile is also shown [Ken77].
Furthermore, the ambient in which the sample is placed during SPER
affects the c-α interface velocity. If the annealing is performed in air, the vapour
water contaminates the surface of Si, H atoms diffuse rapidly towards the bulk.
This effect produces a SPER rate reduction of 25 % in the last outward 2
micrometers of material with respect to vacuum annealing [Rot90] (see the Fig.
1.26).
31
Si, Ge AND SiGe ALLOYS
Figure 1.26 – The SPER rate in Å/s versus the c-α interface depth
depends on the annealing ambient [Rot90].
1.4.2b Charged impurities
Substitutional impurities with III or V valence become electrically active if
they are incorporated into substitutional Si lattice sites and they change, as a
consequence, the electronic properties of the host (see section 1.3.4).
Ion implantation and subsequent SPE re-growth can provide higher levels
of dopant in Si with respect of physical or chemical vapour depositions. The solid
solubility limit (SSL) of some impurities in Si are reported in the following table
1.b.
Table 1.b – Observed (by RBS channelling analyses) substitutional
impurity
concentrations
by
various
authors
are
reported
[Wil82,Nar83,Rom05].
32
1.4 Amorphous-crystal transition
However, at fixed temperature, the Si SPER rate increases with respect to
intrinsic case enhancing the atomic concentration of n- or p-type dopants until the
SSL [Ols88]. Over the SSL, the concentration of implanted elements exceeding the
SSL can form precipitates or clusters constituted by impurity atoms and, in some
cases, also accompanied with point defects of the Si lattice. Consequently, these
atoms do not incorporate in the Si lattice and do not became electrically active.
Indeed, they form complexes generating trap energy levels that deteriorate the
electrical properties. At this regime of impurities concentration, the Si SPER rate
saturates.
Another interesting experimental result shows that the SPER rate value
returns near to the intrinsic one if the concentration of opposite charge carriers are
compensated, like co-implantation of As and B or P and B [Sun82]. In this work,
since implanted elements have a strong influence on vacancy diffusion, Suni and
co-workers supposed that vacancies influence the SPER rate. This model is in
agreement with Csepregi [Cse77] but is inconsistent with others experimental
observations specified above in section 1.4.1.
All these described experimental evidences leads to the conclusion that the
c-α interface velocity depends on the Fermi level position.
Williams and Elliman developed a model [Wil83] taking into account the
change in the number of responsible sites for the re-crystallization process with the
Fermi energy EF. This model also envisaged the different SPER rate with the crystal
orientation. Following the proposed model of Spaepen and Turnbull described in
the previous section [Spa82], that explains the SPER rate dependence on
crystallographic orientation, Williams assumed that, at the c-α boundary, the recrystallization occurs by moving of kinks along the [110] direction on Si (111)
terraces.
Figure 1.27 – In this picture, grey and white parts represent crystal and amorphous,
respectively. The (100) c-α interface is composed by (111) planes oriented terraces.
Along the [110] direction a kink step form (CD). The motion of these kinks (AB) along
the [110] direction produces the re-crystallization [Pri90].
33
Si, Ge AND SiGe ALLOYS
This mechanism suggests the existence of a neutral defects and its positive
and negative charges counterparts responsible for the amorphous-crystal
transition. The diffusion of charged and un-charged defects along <110> direction
promotes the re-crystallization. A change of Fermi level produce an increase in the
number of charged defects (as seen in section 1.3.3), accelerating the SPER process.
Therefore the c-α interface velocity of intrinsic (vi) and n-type (vn) Si are dependent
on the concentration of these defects. Assuming the ratio of negatively charged
defects and neutral concentrations is given by formula 1.44 (see section 1.3.3), and
neglecting the positively charged defects because of their poor population due to
higher energy shift with respect the Fermi energy for n-type case, the c-α interface
velocity is:
vi = A([D0 ] + [D − ]i ) and vn = A([D0 ] + [D − ]n )
(1.59) (1.60)
for intrinsic (vi) and n-type (vn) Si, respectively. Arranges the 1.59 with the 1.60,
with the assumption that (EF - EC) << kT, the SPER rate enhancement compared to
the intrinsic case (with Fermi energy EFi) is:
vn
=
vi
( N D / N C ) exp⎛⎜ ⎛⎜ E g − E − ⎞⎟ / kT ⎞⎟
⎠
⎝⎝
⎠
1 + g − exp⎛⎜ ⎛⎜ EFi − E − ⎞⎟ / kT ⎞⎟
⎠
⎝⎝
⎠
(1.61)
where NC is the effective density of states in CB (formula 1.38), Eg is the energy gap,
E- and g- are the energy level and degeneration of the defect. Equation 1.61 reduces
to: ln (vn/v0) = ln(ND) + cost, that accords with SPER rate enhancement behaviour of
the SPER rate with the donor concentration ND. An analogue expression can be
obtained for the p-type doped Si.
Figure 1.28 – Si SPER rate versus B concentration for three different annealing
temperature. At 610 °C, SPE rate enhances from concentrations of about 1-2 x1019
cm-3 until 1-2 x1020 cm-3, over this concentration value it saturates [Ols88].
34
1.4 Amorphous-crystal transition
This theory explains qualitatively the SPER rate enhancement when
dopants are introduced and the charge carrier compensation which results into an
intrinsic Si SPER rate, but not quantitatively. In fact, Campisano [Cam82] shows
that, at a given dopant concentration, the regrowth velocity scales with the
absolute value of the difference of the covalent radius of the impurity and Si (see
Fig. 1.29) while most of these element (P, As and Sb) can have the same donor
concentration and perhaps equal SPER rate enhancements from the expression 1.61
obtained by William and Elliman [Wil83].
Figure 1.29 - SPER rate at 500 °C of a-Si doped with different impurities at
0.125 at% as function of absolute covalent radius difference between the
impurity and silicon |rI-rSi|[Cam82]. The dashed line is a eye guideline.
1.4.3 Strained Si
Variations in pressure, like variations in temperature, do not commonly
affect the nature of the atomistic process involved, but only their rates. The effect of
hydrostatic pressure on the SPER rate in intrinsic Ge (100) and doped and intrinsic
Si (100) have been measured by Lu et al. [Lu91] annealing samples in a highpressure diamond anvil cell. The SPER rate increases according to
v = v0 ⋅ e
−
EA
k ⋅T
⋅e
PΔV
k ⋅T
(1.62)
where ΔV is the activation volume. The volume of activation is interpreted,
according to transition state theory, as the difference between the partial molar
volumes of the transition state and the sums of the partial volumes of the reactants
at the same temperature and pressure. SPER is characterized by a negative
activation volume of – 0.46 ΩGe in Ge and -0.28 ΩSi in Si, where ΩSi = 12.0x10-6
m3·mol-1 and ΩGe = 13.7x10-6 m3·mol-1 are molar volumes of c-Si and c-Ge,
35
Si, Ge AND SiGe ALLOYS
respectively [Lu91]. The negative value of ΔV means SPER rate enhancement
under compressive hydrostatic pressure.
Lu et al. supposed that defects responsible for SPER process modify their
occupation with an energy term stress-dependent of the type exp(σ·ΔV/kT), with σ
stress tensor and ΔV activation volume tensor of the defect. They supposed,
starting from the theory proposed by Speapen and Turnbull [Spa82], that
responsible defects can be dangling bonds. The activation volume is formed of the
formation and the migration volume of dangling bonds. The activation strain term
in a lattice with cubic symmetry can be written as
(1.63)
σΔV = σ xx ΔVxx + σ yy ΔVyy + σ zz ΔVzz
where σ is the stress at the interface evaluated from the crystal side, x, y and z are
aligned along the [100], [010] and [001] directions since the re-crystallization
direction is toward the [001] direction, σij are the corresponding elements of stress
tensor. The assumption that the stress state on the amorphous side of the interface
does not influence the SPER rate has some experimental support but it does not
mean a closed question [Bar01]. For SPER of (001) oriented Si, symmetry dictates
that the activation strain tensor can only have two independent components, ΔVxx
(= ΔVyy) and ΔVzz. All other components are zero.
Aziz et al. [Azi91] calculated the component of activation volume tensor
subjecting samples under non hydrostatic pressure. Tensile (compressive) stress
along the [100] direction reduces (enhances) the Si SPER rate with respect to
unstressed one. The investigated pressure range is shown in Fig. 1.30. BarvosaCarter et al. [Bar01] successively obtained ΔVxx = 0.14 ΩSi and ΔVyy = - 0.35 ΩSi.
Figure 1.30 – Variation of Si SPER rate with applied external non-hydrostatic uniaxial
stress along [100] direction (σ11) [Azi91]. The c-α interface moves towards the [001]
direction. Solid line is fit to equation 1.62 with ΔVxx = 0.15 ΩSi.
36
1.4 Amorphous-crystal transition
1.4.4 Relaxed and strained SiGe
Several works report that SPER rate of Si1-xGex alloys is higher than pure Si
and it increases enhancing the Ge atomic concentration x [Kri94,Hay95]. Si1-xGex
SPER rate follows an Arrhenius behaviour with the temperature as expressed in
the formula 1.54. The first obvious experimental observation regards the value of
activation energy of the SPER process. It does not vary monotonically with the Ge
concentration, but for x < 0.4 its value exceeds the pure Si SPER activation energy.
This behavior is in contrast with the dangling bond model based on the bonding
energies of the atomic species forming the alloy, because in the SPER mechanism
proposed by Spaepen and Turnbull [Spa82], the formation of a pair of DBs requires
bond breaking at the c-α interface. In the DB model draws on by Lu, the SPER
activation energy is equal to the sum of free-energy of migration and formation of
a BD at the c-α interface. The bond energy of Ge-Ge or Ge-Si is lower than Si-Si
atoms [Suh96]. Therefore, increasing the Ge concentration in the Si1-xGex alloy, the
probability to find Si-Ge or Ge-Ge bonds at the c-α interface monotonically
increases. A theoretical model, known as “five-body model” (FBM) [Suh96,Suh97]
explains the composition dependence of the activation energy pointed out by
Haynes et al. and Kringhøj et al. [Kri94,Hay95]. The FBM is based on atom diffusion
trough vacancies at the c-α interface. The diffusing atom meets other four atoms
during crystallization. Considering all possible combinations of the five atoms
involved, for the Si1-xGex alloy, the activation energy in eV is given by:
EattSiGe = (1-x)5·E5Si + 5x(1-x)4E4Si1Ge + 10x2(1-x)3E3Si2Ge +
+ 10x3(1-x)2E2Si3Ge ++ 5x4(1-x)E1Si4Ge + x5E5Ge.
(1.64)
where E5Si = 2.68 eV and E5Ge = 2.02 eV are SPER activation energy for Si and Ge,
respectively, while the other components are combination related to Si-Ge, Si-Si
and Si-Ge bonds in the configuration.
(a)
(b)
Figure 1.31 – SPER rate versus temperature of various Si1-xGex alloys (a). Solid lines are best fits of
Arrhenius behavior with annealing temperature. Numerals indicate composition in atomic percent Ge x
[Hay95]. Activation energy of SPER (b) as function of Ge content in strain relaxed SiGe samples grown
by molecular beam epitaxy (closed symbols), and by chemical vapor deposition (open symbols) [Kri94].
37
Si, Ge AND SiGe ALLOYS
A structure consisting in a sequence of c-Si buffer, α-Si and α-Si1-xGex
layers, with sharp interfaces of separation, can regrow by solid phase epitaxy. The
realization of a crystalline SiGe alloy on Si substrate by SPER is a particular case of
heteroepitaxy. It has been already reported that, starting from the Si/SiGe
interface, only a few nanometers of SiGe re-grow free of defects [Pai91], this SiGe
layer being probably coherent (i.e. strained) with the underlying Si layer. The small
thickness of this defect free layer makes difficulty to extract information about
SPER of strained SiGe and is also the major problem to realize high quality SiGe
layers for microelectronics devices. Moreover, the defect free thickness decreases
exponentially increasing the Ge fraction [Pai91]. This suggests that the introduction
of defects is based on the accumulation of strain during SPER of SiGe films on Si
and is probably determined by the competition between re-growth and dislocation
nucleation. Another aspect involved in the formation of defects is the roughness of
the c-α interface. In fact, it has been reported [Cor96] that the c-α interface starts to
roughen when it moves from Si into SiGe. If the growth occurring along different
directions than the original [001] one causes strain accumulation, thus planar
defects can be formed when the regrowth has overcome a critical thickness value.
Nevertheless, the actual mechanism of defect introduction in SiGe during SPER as
well as the thickness of the defect free region are not clearly understood.
Moreover, Hong et al. [Hon92] confirmed that biaxial stress normally
encountered in heteroepitaxy have twice effect of uniaxial stress in Si, by
comparing SPER rates on stressed substrate during heteroepitaxy of Si1-xGex on Si.
38
Chapter 2
Low strain effects on
Si solid phase epitaxy
The Si solid phase epitaxy re-growth rate can be independently affected by doping
or stress. Many theories were developed to understand the influence of an applied stress or
the Fermi energy on the SPER mechanism. Nevertheless, the SPER rate enhancements
observed in doped Si samples become considerable only at dopant concentrations at which
the parallel strain in the epitaxial layer produces stress values that can change the Si SPER
rate. In fact, the doping process of Si occurs through impurities incorporation in
substitutional lattice sites, inducing a strain. Experimental observations showed that
dissimilar elements into substitutional Si lattice site vary in unlike way the SPER rate even
if cause the same Fermi energy. Therefore, the role of strain induced by dopants on SPER
mechanism is not well clarified so far.
Our approach to explain this question is to use implantation with isovalent
impurities (B and Ga) and Ge implantation to vary the strain in a Si layer independently of
the dopant concentration and, consequently, the Fermi level position. In this way the same
level of strain can be achieved implanting different impurities taking care to fix the hole
concentration at the same value. We studied the effects of strain in the SPER rate of doped
samples principally by time resolved reflectivity measurements. A theory based on the main
known models for the determination of SPER rate of doped or stressed Si will be developed
to fit the experimental data knowing the Fermi energy and the strain of a Si doped sample.
Finally, we present an influence from the type of Si substrate (Czochralsky and
Floating Zone) on the SPER rate in B-doped samples that it doesn’t observed in undoped or
Ga-doped samples. This effect will be discussed in terms of different content of uncharged
impurities in the Si substrates.
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
2.1 SPER of doped and stressed Si. Open questions
In the section 1.4, experimental and theoretical observations of Si SPER
mechanism were reviewed. In particular, Si SPER rate enhances introducing
tetravalent or pentavalent impurities over concentrations of about 1x1019 cm-3,
while it saturates exceeding the solid solubility limit of the introduced elements
(Fig. 1.30).
The discussed model (section 1.4.2b and the formula 1.61) proposed by
Williams and Elliman [Wil83] to explain the SPER rate of Si doped samples does
not justify why different chemical species doping Si at the same concentration ND
can cause different SPER rate (see Fig. 1.29) at a fixed temperature (that means
equal value of Eg from the formula 1.31). Besides, the work of Campisano [Cam82]
suggests a SPER rate dependence on the covalent radius of the implanted impurity,
and, therefore, on strain occurring in Si lattice incorporating foreign elements.
Moreover, in co-doped samples with isovalent impurities (P and As) maintaining
constant the total carriers concentration [Sun82], the SPER rate is intermediate
between those of the two single dopings (see Fig. 2.1).
Figure 2.1 – Si SPER rate at 475 °C of As- and/or P- doped samples as function of absolute
value of misfit induced by impurities with respect pure Si. The data are extracted from Suni
et al. [Sun82] by Romano [Rom05]. The dashed line is a eye-guideline. The numbers in
brackets indicate the concentration of each dopant in unity of 1020 cm-3.
According to experimental observations of Campisano [Cam82], lattice
parameters of ternary alloys of Si1-x-yAsxPy, maintaining constant the concentration
z = x + y (in small quantity), are intermediate in between the lattice parameter of
Si1-zAsz and Si1-zPz. These results suggest the SPER rate dependence on the strain
induced by dopants on Si lattice during the re-crystallization. The parallel strain ε||
can be evaluated considering the lattice parameter a of a relaxed crystalline alloy
Si1−xIx that follows the Vegard’s rule [Veg21], where I is the impurity symbol and x
its concentration in the alloy:
40
2.1 SPER of doped and stressed Si. Open questions
a = aSi (1 − x ) + aI x
with aI related to the impurity covalent radius rc:
8r
a −a
ε || = Si
aI = c :
a
3
impurity
(2.1)
(2.2) (2.3)
rc [Å]
As
1.20
P
1.06
Sb
1.40
Ga
1.26
B
0.82
Table 2.a – Covalent radii of some tetravalent and pentavalent impurities.
The covalent radius of Si and Ge are 1.17 Å and 1.22 Å, respectively [Kit05].
Lu et al. [Lu91] measured the effect of Si SPER rate enhancement applying
hydrostatic pressure in a range in between 1.7 GPa and 3.0 GPa in samples
implanted with 75 keV of As+ at 1x1015 cm -2 or 35 keV of B+ at 1.5x1015 cm-2 or P+
110 keV at 1.5x1015 cm-2 or B and P co-doped to compensate carrier concentration
and, therefore, SPER rate value. The activation volume for SPER is un-affected by
doping comparing those of intrinsic Si. Barvosa-Carter et al. [Bar01] confirmed an
activation volume of ΔVxx = 0.17 ± 0.02 ΩSi (x is in the direction [100] while the c-α
interface is along the (001) plane) in uniaxial external stressed (-0.5 ÷ -1.0 GPa) Si
sample implanted with B at 5x1015 at./cm-2 at 50 keV. This value of activation
strain tensor agrees with intrinsic stressed Si confirming the irrelevance of doping
on SPER rate behaviour of stress induced Si SPER rate changes.
Nevertheless, external stress of about -0.5 GPa along the direction lying on
c-α interface is able to corrugate the c-α interface and planar defects can be
generated [Bar04] reducing the c-α interface velocity during SPER. Moreover, the
c-α interface roughness increases at value of tens of nanometers during the regrowth. Therefore, the range of external applied stress previously discussed is
higher than those internal (heteroepitaxial) of Si doped samples reported by
Campisano [Cam82], where the maximum value of compressive (tensile) stress
during the SPER is σxx = σyy = -0.04 (0.07) GPa for Sb (B) at 0.125 at.% in Si.
Anyway, the incorporation in crystal Si lattice of foreign atoms under the solid
solubility limit produces in-plane stress below the values able to destroy the c-α
interface planarity of usually Si SPER re-growth. Thus, any effect of doping
induced stress during heteroepytaxial SPER can be hidden by the higher applied
stress value of experimental results discussed above.
In the generalized Fermi level shifting (GFLS) model of Lu et al. [Lu91],
SPER is assumed to occur through motion at the c-α interface of a neutral defect
and its negatively and/or positively charged counterparts, and it is assumed that
41
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
these defects (dangling bond) are in thermal and electronic equilibrium, with the
latter being determined by the band structure and density of states of the crystal. A
systematic study on Si SPER doped with As at various concentrations in buried
amorphous layers shows that taking into account in the GFLS model the value of
Fermi energy EF in the case of degenerate semiconductors (when the distance
between EF with EC or EV is comparable to kT, see section 1.3.4) is possible to
simulate the SPER rate enhancements trend with the annealing temperature for As
concentrations in the range of 2.5x1019 ÷ 27.9x1019 cm-3 [McC99,Joh04].
Figure 2.2 – As- (a), P- (b) and B-enhanced (c) normalized SPER rate versus temperature for different
impurity concentrations. Solids lines are fit of experimental data from the formula 2.4 (for As and P)
and formula 2.5 (for B), being E± and g± free parameters. The experimental error bars are reported only
for the higher impurity concentration for graph a and b. For B-doped Si, experimental errors on
normalized SPER rate is of the same size of symbols [Joh07].
This approach has been also extended to B, P and Al implanted in Si in a
recent work by Johnson and McCallum [Joh07]. The normalized SPER rate r is the
ratio between SPER rate of n-doped or p-doped and intrinsic Si and it is given,
respectively, by:
42
2.1 SPER of doped and stressed Si. Open questions
rn =
v p 1 + g + exp((E + − EF ) / kT )
v n 1 + g − exp((EF − E − ) / kT )
and
(2.4) (2.5)
=
r
=
=
p
v i 1 + g + exp((E + − EFi ) / kT )
v i 1 + g − exp((EFi − E − ) / kT )
where E- and E+ are the energy level of negatively and positively charged
defect, respectively; g- and g+ are the degeneration of E- and E+, respectively. The
equations 2.4 and 2.5 are used to fit the experimental rn and rp data as a function of
temperature with g-, g+, E+ and E- of the SPER defect being free parameters,
assuming that the mobilities of charged and uncharged defects are identical [Lu91].
The negative defect energy level and, perhaps, its degeneration are
different for As and P doped samples, being EC – E- = 0.16 ± 0.01 eV against 0.23 ±
0.02 eV and g- = 0.53 ± 0.07 against 0.25 ± 0.06, while they must be unique for ndoped Si. However, McCallum showed that setting the degeneration g± of the
defect energy level as DB defect [Lu91], the degeneration must be 0.5 and 1 per nand p-type dopants, respectively, depending on the spin degeneration effect. With
this fixed values, to simulate their experimental data the defect energy level
changes with the dopant concentration (see Fig. 2.3a and Fig. 2.3b), while
according to the GFLS model, E- and g- values for dopants of the same type should
be equal at all impurity concentrations.
Figure 2.3 – The distance between the energy level of the negative (positive) charged defect responsible for
SPER mechanism and the tough (peak) of CB (VB) is reported as a function of impurity concentrations.
Lines are eye-guides only. Data for n-type are extracted fitting the expression 2.4 with E- as free parameter.
Data for B and Al are extracted from the formula 2.5 in the case of p-doped Si [Joh07].
In the same work, we can note for 1.06x1020 As/cm3 and 1020 P/cm3 that
the Fermi level values at 540 °C, using the procedure of Johnson and McCallum
(appendix of [Joh97]) are EF = EC - 0.076 eV and EF = EC - 0.077 eV, respectively.
These values are quite similar and assuming the same values of E- and g-, the SPER
rate enhancements should be identical, observing the formula 2.4, while
normalized SPER rate are of about 3 and 3.4, respectively (Fig. 2.2a and Fig. 2.2b).
43
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
This effect suggests a SPER rate dependence as well as the Fermi level position also
from the different strain induced by the different impurity species in the Si lattice.
In fact, for concentrations discussed above, As and P in Si give compressive and
tensile in plane stress, respectively. Thus, the reduced r value of As-doped sample
with respect P-doped sample can derive from the compressive strain along the
[100] direction during the incorporation of As atoms in the Si lattice.
Indeed, the SPER rate enhancements become considerable only at dopant
concentrations greater than 1x1019 cm-3 [Ols88], just at concentration at which the
parallel strain in the epitaxial layer is of the order of 10-4 and ends up at the dopant
concentration exceeding the solubility limit.
It is well known that applying tensile in-plane strain along (100), the
energy levels in both CB and VB change of a few tens of meV [Fis97]. Therefore,
dissimilar elements into substitutional Si lattice site cause different strain varying
in unlike way the Fermi level position. A change in EF with the strain can explain
the trend of the defect energy level with the dopant concentration observed in Fig.
2.3. Another possible explanation regards a change in the number of defects
responsible for SPER process in strained Si.
Taking into account the presence of B in some non hydrostatic pressurized
Si samples, Barvosa-Carter et al. [Bar04] introduced an empiric correction of the
SPER rate depending on the dopant concentration. Nevertheless, they did not
explain how a variation of the Fermi level position can affect the SPER rate
enhancement with respect pure Si. Therefore, the role of strain induced by dopants
during SPER is not well clarified.
Experiments presented in this chapter will show how it is possible to vary
the Si strain by implanting Ge and several doping impurity. In particular, three
different concentration of Ge have been used to preamorphize Si and introduce
compressive strain. Then, samples have been implanted with B and Ga in the
concentration range of 1x1020 cm-3 and co-implanted with B and Ga in order to vary
the carrier concentration, and consequently the Fermi level position, and to
compensate in same cases the strain induced by the single impurities.
44
2.2 Sample preparation and characterization
2.2
Sample preparation and characterization
Three set of amorphous samples were prepared on (100) p-type
Czochralski Si substrates by Ge+ ion implantation. The purpose of this chose is to
create three α-Si samples with three Ge contents inducing different strain during
subsequent SPER. Each set of samples was subsequently implanted with B+, Ga+ or
B+ and Ga+ maintaining constant the total concentration at value of 1x1020 cm-3.
2.2.1 Ion implantation
An impinging ion in a solid transfers its energy to target atoms. If the
transferred energy is higher than that required to a creation of a Frenkel I-V pair
(of about 15-20 eV for Si [Cor66]), the interaction between displaced atoms and
other lattice atoms form further Frenkel pairs and defects. An amorphous layer is
produced by exceeding a certain amount of displaced atoms for single implanted
ion. This value depends on the film temperature and on the implanted species
[Pel05]. The thickness of the generated amorphous layer depends on the energy
and dose of implanted ions. Computer simulation codes based on the binary
collision approximation such as SRIM [Zie85] permit to evaluate the number of
displaced atoms and the concentration profile of implanted ions as a function of
depth.
An amorphous layer was produced by multiple Ge+ ion implantation at
room temperature using a High Voltage Engineering Europe (HVEE) ions
accelerator in order to produce a box-like profile as showed in Fig. 2.4 (SRIM
simulation [Zie85]). Ge ion beam fluences and energies are reported in table 2.b,
each set of samples is characterized by a different Ge maximum concentration:
3x1019 , 2x1020 and 5x1020 Ge/cm3.
Set
A
B
C
Ge implants
Energy [keV] dose [at./cm2]
75
1.7x1015
150
2.4 x1015
270
7.3 x1015
75
6.8 x1014
150
9.6 x1014
270
2.9 x1015
75
1.2 x1014
150
1.6 x1014
270
5.0 x1014
Max Ge Concentration
[at./cm3]
5e20
2e20
3e19
Table 2.b – Ge+ implantations of the three set of amorphized samples.
45
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
Some samples were subsequently implanted at room temperature with B+
or
or implanted with both B+ and Ga+ in order to obtain a constant p-type
dopant concentration peak of 1x1020 atoms/cm3. Our approach here is to use
implantation with isovalent impurities (B and Ga) and Ge implantation to vary the
strain in the layer independently of the dopant concentration. In this way the same
level of strain can be achieved implanting different impurities taking care to fix the
hole concentration at the same value. The performed B+ and Ga+ implants are
summarized in table 2.c. The dopant concentration profiles have been calculated by
SRIM are showed in Fig. 2.4 between the Ge concentration profiles of the three sets
specified in the table 2.b.
Ga+
set
1
Ga
Ga
2
B
3
B
Energy
[keV]
90
160
90
160
17
29
17
29
dose
[at./cm2]
2.0 x1014
1.14 x1015
1.0 x1014
5.7 x1014
1.28 x1014
4.73 x1014
2.56 x1014
9.47 x1014
Max concentration
[at./cm3]
1 x 1020
5 x 1019
5 x 1019
1 x 1020
Table 2.c – B+ and Ga+ implantation performed on samples previously
amorphized with the implants of set 1, 2 and 3 described in the table 2.b.
21
-3
Concentration [cm ]
10
set A
set B
set C
set 1
set 3
20
10
19
10
18
10
0
50
100 150 200 250 300 350 400 450 500
Depth [nm]
Figure 2.4 – Ge+ (set A, B, C), B+ (set 3) and Ga+ (set 1) concentration profiles as
calculated by SRIM code [Zie85] for sets of samples specified in table 2.b and 2.c.
46
2.2 Sample preparation and characterization
2.2.2 Rutherford backscattering spectrometry
The amorphous layer thickness and Ge concentration profile for the three
set of samples (table 2.b) were determined by Rutherford backscattering
spectrometry (RBS) measurements [Fel86] performed with 2.0 MeV of 4He+ in a
HVEE coaxial Cockroft-Walton Singletron ion accelerator. The scattering geometry
is the IBM [Tes95] and refers to the scattering configuration where the incident
beam, surface normal, and detected beam are coplanar. The scattering angle is
165°, the detector has an active area of 30 mm2 and it is placed about 10 cm from
the target.
In channelling geometry, one atom displaced out of one crystalline
position by only 0.2 Å enhances the yield of backscattered ions. Therefore, the yield
of backscattered He+ ions in the α-Si layer is higher than that of c-Si layer. It does
not vary tilting the sample, while in the crystalline region decreases in channeling
condition of the ion beam in a specific crystallographic direction. The thickness of
an amorphous layer is obtained if the keV/channel conversion and the ion energy
loss in eV/nm are known. XRUMP code [Doo85,Doo86] was used to simulate RBS
spectra. The depth resolution for Si at this configuration is of 15 nm. The spectra of
the implanted samples have been collected aligning the beam along the <100> axis.
The RBS spectra of sample implanted as specified in the set C is reported in Fig.
2.5.
Figure 2.5 – RBS energy spectrum of a 2.0 MeV He+ beam on the Geimplanted (set A) (100) oriented Cz Si. Line is the simulation by XRUMP
code [Doo85,Doo86] of a 360 nm Si layer thick.
The nominal Ge content and box-like profiles are confirmed by RBS
analyses (Fig. 2.6). The generated amorphous layer for the set C is about 310 nm
47
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
thick from the sample surface while it is quite similar for both set A and B (360
nm).
Ge 5x1020
3
Ge 2x1020
3
Ge 3x19 cm-3
Figure 2.6 – RBS energy spectra of a 2.0 MeV He+ beam on the Ge-implanted
(set A, B and C) samples. Lines represent the nominal peak concentration
expected from SRIM simulations (table 2.b).
The Ga implanted nominal dose, peak concentration and its box-like
profile (for set 1 of table 2.c) were confirmed by RBS measurements (not shown)
performed at glancing geometry with a tilt angle between the incident beam and
the surface normal of 60°, and the solid angle detector has been reduced with a slit
of 2 mm obtaining a depth resolution of 8 nm.
2.2.3 Transmission electron microscopy
An α-Si layer is clear recognizable in a transmission electron microscopy
(TEM) image because does not change its contrast tilting the sample or changing
the acquisition condition. TEM analyses were performed with a field emission
(LaB6 filament) JEOL JEM 2010 at 200 kV. Cross-sectional samples were prepared
by mechanical polishing followed by Ar+ ion-beam milling, at 5 keV and 8° angle
of incidence with respect to the sample surface. Cross sectional TEM image of
amorphized samples confirm the α-Si layer thickness measured by RBS.
High density of defects are commonly associated at the proximity of the
ion track during ion irradiation of a solid. Particularly, high density of defects are
present near the end of range (EOR) of displacement cascade [Bri54]. These defects
are commonly indicated as EOR defects. They are annealed at temperatures of
several hundred degrees [Pel04]. After an implantation, the c-α interface can be
highly rough. It is possible to recover the c-α interface flatness with a roughness of
less of 1 nanometer by thermal annealing of the as-implanted sample.
48
2.2 Sample preparation and characterization
Fig. 2.7a included a transmission electron microscopy in cross-section of Si
after Ge+ multiple implantation of the set C. The irregular c-α interface shape is
clearly visible (white arrow of Fig. 2.7a). Fig. 2.7b shows the same sample heated
for 30 minutes at 450 °C in a horizontal furnace in N2 ambient, The interface of
separation between amorphous and crystal regions has low surface roughness with
respect the as implanted sample.
(a)
(b)
Figure 2.7 – Cross sectional TEM images of sample C. In the right side, the as implanted sample.
The white arrows indicate the c-α interface location. Note the intermixture of amorphous (dark
contrast) and crystalline (light contrast) regions. In the left side, the same sample showed in the
right side after annealing for 30 minutes at 450°C in N2 ambient. Note the sharp interface of the
c-α interface after the thermal process.
The c-α interface roughness enhances proportionally to the SPER rate
[Ell93]. A c-α interface roughness lower than 2 nanometres is required to avoid the
formation of defects during the solid phase epitaxy re-growth. In fact, the B
implanted dose of set 3 enhances the Si SPER rate of about 8 times the intrinsic Si
SPER rate (at temperature of 540 °C) [McC07]. An higher roughness can form
defects as stacking faults or twins in Si during the SPER [Bar04].
A plan view TEM image in dark field (DF) of the Si sample implanted with
the higher Ge concentration (set A) after annealing for 1 h at 550 °C (not shown)
reveals the absence of any extended defect after the SPER. In DF images, the direct
beam is blocked by an aperture while one (or more) diffracted beam is allowed to
pass the objective aperture. Since diffracted beams have strongly interacted with
the specimen, very useful information is present in DF images, e.g., about planar
defects, stacking faults or particle size.
All the TEM analyses confirm the absence of elevated c-α interface
roughness and the formation of planar defects which can affect, independently, the
SPER rate.
2.2.4 Spreading resistance profiling
The spreading resistance profiling (SRP) technique allows to measure the
sheet resistance rs of a sample applying an electrical potential between two tips of
49
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
osmium-tungsten. The tips are moved at a certain constant step across the surface
of the sample to make a measure of local rs. The angle of abrasion is such as to
make vertical shift of the tips even of 10 nm providing to obtain the doping profile
as a function of the depth. The carriers concentration can be derived from the rs
using a conversion program based on an empirical algorithm [Ber81].
Spreading resistance profiles measurements confirm that all the implanted
dopants were fully electrically active after regrowth (in between the experimental
error of ). The full electrical activation is required because the strain in the epitaxial
layer has been calculated assuming that the implanted dopants are substitutionally
located into the Si lattice, since the formation of complexes can dramatically
changes the strain in the layer. In Fig. 2.8 is reported the SRP profile of sample
implanted with Ge and B at peak concentration of 5x1020 and 1x1020 cm-3,
respectively.
Figure 2.8 – The p-type carrier concentration versus the depth of sample implanted with
set A and set 3 specified in tables 2.b and 2.c after SPER at 542°C . The SRP measure
confirms the peak concentration of 1x1020 cm-3 of carriers (B).
The carrier concentration in co-doped samples was equal to the sum of
those relative to single B and Ga doping, so that the formation of not active B–Ga
complexes is ruled out. Moreover, the different content of Ge in the three set of Gadoped samples (at 1x1020 Ga/cm3) doesn’t alter the active content of carrier
concentration.
2.2.5 Strain of samples and X-ray diffraction
We calculated, in first approximation, the strain induced by dopants taking
into account the covalent radius of the introduced elements. In presence of small
concentration of Ge and dopant element, the strain was calculated taking into
account the linear approximation of elastic deformation of a ternary alloy (or
quaternary alloy, in the cases of B and Ga co-doping). Ion channeling analyses
50
2.2 Sample preparation and characterization
using 2.0 MeV He+ and a 650 keV H+ beam for Ga and B, respectively, indicated
that the implanted atoms were substitutional after SPER. Boron and Ga lattice
location by ion beam channelling technique confirmed at least 95% of both
dopants are substitutional in the Si lattice.
High Resolution X-Ray Diffraction (at the Cu Kα1 wavelength) was
performed on some samples to confirm the calculated strain. The out-of-plane
lattice parameter of the doped films was determined by performing rocking curve
scans around the Si (004) reflection. The perpendicular strain (ε⊥) profile was
extracted by a fitting procedure [Rom06,Bis06]. The parallel strain ε|| was calculated
by the expression:
1 −ν
ε =−
ε⊥
(2.6)
2ν
with ν Poisson ratio of Si (ν = c12·(c12+c11)-1 = 0.278 GPa, see table 1.a). For instance,
the theoretical parallel strain due to incorporation of Ge and p-type dopant at peak
concentrations (at about 100 nm depth) can be calculated considering the lattice
parameter by Vegard’s rule for the ternary alloy Si1-x-yGexBy, with x and y the peak
concentration of implanted Ge and B:
a = aSi (1 − x − y ) + aGe ⋅ x + aB ⋅ y
(2.7)
where aGe and aB are calculated from the relationship 2.2 with the covalent radii
reported in table 2.a. The nominal parallel strains of table 2.d are calculated by
relationship 2.3.
samples
Impurities
concentration
at 100 nm depth
[x 1020 cm-3]
Theoretical
Parallel strain
ε|| (x10-4)
ε||
XRD
(x10-4)
A
B
C
A1
B1
C1
A2
B2
C2
A3
B3
C3
Ge 5
Ge 2
Ge 0.3
Ge 5 + Ga 1
Ge 2 + Ga 1
Ge 0.3 + Ga 1
Ge 5 + Ga 0.5 + B 0.5
Ge 2 + Ga 0.5 + B 0.5
Ge 0.3 + Ga 0.5 + B 0.5
Ge 5 + B 1
Ge 2 + B 1
Ge 0.3 + B 1
- 3.76
- 1.50
- 0.23
- 5.18
- 2.93
- 1.66
-1.45
0.81
2.08
2.30
4.55
5.83
-3.35
Carrier concentration
Spreading resistance
Profile
[x 1020 cm-3]
0.95
0.95
0.95
-1.65
0.95
2.11
1.05
1.05
Table 2.d – Summary of implanted samples and the calculated parallel strain value at peak
concentration (100 nm depth) of each sample. Some XRD and SRP measurements are also reported.
51
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
2.3 Solid phase epitaxy characterization
2.3.1 Time resolved reflectivity
A light beam reaching any interface of separation between two media with
different optical constants will be partially reflected and partially transmitted. If
the transmitted light portion meets further surfaces of separation with other
materials and, perhaps, with different refractive indexes, there are subsequent
reflections and transmissions of the fraction of light that arrives at these interfaces.
Part of the reflected beams, in their turn, can go outside from the outer surface. The
reflectivity R is the light intensity reflected by a solid surface. It depends on the
various layers (and on their depths) composing the solid and on their refractive
indexes. Since the refractive index of α-Si is higher than the c-Si in a wide range of
wavelengths, a measurement of reflectivity can provide information on the c-α
interface position if it is sharp compared to the light wavelength dimension.
A σ-polarized He-Ne laser beam of wavelength λ = 632.8 nm and intensity
I0 impinges on the surface of a sample made by α-Si layer of thickness d on a bulk
c-Si. At this wavelength, the refractive indexes of α-Si and c-Si at RT are nα = 4.65 –
0.6i and nc = 3.88 – 0.012i, respectively, however, the temperature dependence of
these refractive indexes is known [Kro92,dSa95,Hum93]. The sample is placed in a
heater of the HEATWAVE LABS allocated in a chamber equipped with two quartz
windows and a vacuum system (a rotative and a turbo molecular pumps) able to
generate a pressure of about 1x10-6 mbar. A silver paste was used to ensure the
thermal contact between the sample and the holder, a thermocouples were placed
close to the sample to control the effective temperature of annealing. The final
temperature is reached with a temperature ramp of 20 °C per minute and it
becomes stable in about one minute. The nominal temperature accuracy is 0.1 °C.
The time duration of the temperature ramp is sufficient to make flat the c-α
interface (see Fig. 2.7).
A fraction r of I0 of the incident light laser beam is reflected from the
sample surface. The reflection angle φ0 is equal to the incident angle. The remaining
fraction t of I0 is transmitted into the amorphous layer at the angle φ1 depending by
the Snell law:
n0 senφ0 = nα senφ1
(2.8)
with n0 refractive index of vacuum (equal to unity). Moreover, the relationship r + t
= 1 is valid. The ratio between the t and r is maximized if the incident angle of the
σ-polarized laser beam is near the Brewster angle θB. It is characteristic of a
52
2.3 Solid phase epitaxy characterization
material with real part of the refractive index n. For the case of α-Si/vacuum
surface it is given by
θ B = arctg(nα )
(2.9)
with nα = 4.89 (for α-Si at 550°C) [Hay95] θB is about 77°. All the measurements
were performed at the Brewster angle to maximize the transmitted portion of light
allowing the investigation of the buried c-α interface.
The α-Si layer partially absorbs the fraction t⋅I0. The absorption length a of
a material is defined as the distance at which the initial intensity of light is reduced
of 1/e. The absorption length a depends from the imaginary part of the refractive
index kα and from the laser beam wavelength in the vacuum:
4π ⋅ k a
.
(2.10)
a=
λ
For the α-Si at RT, with λ = 632.8 nm, a is about 1.2x105 cm-1. A fraction t’ of the
intensity of light that will come to the c-α interface will be transmitted to the Si
crystalline bulk and r’ will be reflected again toward the α-Si/vacuum interface. In
this surface will be again the phenomenon of transmission outwards and reflection
inwards, see the diagram below:
Figure 2.9 – The electric field wave of laser beam is partially reflected and partially
transmitted between two media with different refraction indexes. r1 and r2 are the reflected
portions from vacuum/α-Si and α-Si/c-Si surfaces; t1 and t1’ are the transmitted portions
from vacuum into α-Si layer and vice versa. The reflectivity is directly proportional to the
square modulus of electric field of laser beam coming from the sample surface.
Therefore, the reflectivity consists of several terms. It is the sum of a
geometric series, which converges to the value:
R=
r1 + t 1t 1' r2 e − 2 iδ 1
− t 1t 1' r1 r22 e − 4 iδ 1
2
+ ..... = r1 +
t 1t 1' r2 e − 2 iδ 1
1 + r1 r2 e − 2 iδ 1
2
(2.10)
53
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
δ1 is the phase factor. It depends on the depth d of the c-α interface. Therefore,
heating the sample, the c-α interface moves toward the surface. Varying the c-α
depth d, the R value fluctuates to minimum and maximum values cause the
constructive or destructive interference of periodicity:
l=
λ
(2.11)
4 ⋅ nα ⋅ cos(φ1 )
with λ = 632.8 nm, φ1 = 11° (from the relationship 2.8 with φ0 = 77°), nα = 4.89 (at 550
°C) real part of refractive index of α-Si, l is 33.1 nm.
The term t1, t1’, r1 and r2 can be drawn by Maxwell equations. For σpolarization they are:
n cos φ0 − cos φ1
2 cos φ1
r1 = α
t1 =
nα cos φ0 + cos φ1
nα cos φ0 + cos φ1
(2.12)
r2 =
nc cos φ1 − nα cos φ2
nc cos φ1 + nα cos φ2
t 1' =
2 nα cos φ0
nα cos φ1 + cos φ0
The reflected laser beam from the heated sample arrives at a Si photodiode.
Acquiring, with a properly written software to read with a 2410 KEITHLEY digital
multimeter, the tension of a photodiode, that is directly proportional to the
reflectivity value, versus the time t, we obtain maximum and minimum of the R
trace following the constructive or destructive interference due to change in the c-α
interface depth d.
Figure 2.10 – Reflectivity trace versus the time of 360 nm of α-Si/c-Si
sample (set A) acquired during re-crystallization at temperature of 556°C.
54
2.3 Solid phase epitaxy characterization
The absorption in the α-Si layer attenuates the intensity of light. Therefore,
the amplitude of R oscillations is reduced for thick amorphous films. As a
consequence of above, when light of 632.8 nm is used, films thicker ~ 360 nm have
essentially the same reflectance as an infinitely thick sample of α-Si. The c-α
interface motion can only detected when the interface is within 360 nm from the
outer surface.
A special routine has been developed in MATLAB to determine the c-α
interface velocity. The measured reflectivity trace as a function of time R(t) is
compared with the expected reflectivity value as function of depth R(d) at a certain
temperature.
The positions of the peaks and valleys of the measured R(t) and simulated
R(d) signals are normalized to 1 and 0, respectively. Then, the simulation code gets
the SPER rate versus depth for an arbitrary number of points (theoretically infinite,
almost until the spatial resolution that allows the time in which make the
measure).
The following figures show an example of data analyses: Fig. 2.11 reports
the measured (left panel) R(t) and the simulated (right panel) R(d) with the peaks
and valleys positions, respectively. Fig. 2.12 show the normalized signals of Fig.
2.11. Fig. 2.13 is the extracted interface velocity as a function of depth. The strongly
decrease of the SPER rate at 50 nm from the surface is due to high concentration of
impurities caused by ion implantation processes or ambient exposure.
Figure 2.11 – Experimental TRR trace (left figure) of sample A annealed at 556 °C. The position of peaks and
troughs are marked and compared whit that of the simulated trace (right figure) as a function of depth from the
relationship 2.10.
55
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
Figure 2.12 – The experimental and simulated TRR trace are normalized to 1 (peak) and 0 (trough) and are
compared. A point of the curve at time t (left graph) correspond to a point of the curve at depth d (right graph). A
collection of point (d,t) is created. The derivative function d(t) is the c-α interface velocity and, therefore, the
SPER rate as function of depth.
Figure 2.13 – SPER rate of sample A annealed at 556 °C at pressure of 1x10-6 mbar. The vacuum
ambient is required to avoid SPER rate retardation due to H infiltration during annealing in air
[Rot90]. Therefore, only in the first 40-50 nm thick from the surface the c-α interface velocity
strongly decreases due to high concentration of impurities caused by ion implantation processes
or ambient exposure.
56
2.4 Solid phase epitaxy of doped and strained Si
2.4 Solid phase epitaxy of doped and strained Si
All the implanted samples described in table 2.d were annealed at
temperature of 546 °C ± 0.5°C. During the SPER, TRR signal was acquired for each
sample. The amorphous layer is thicker than the doped layer, therefore, the first
oscillations of the reflectivity signal are indicative of the intrinsic Si regrowth rate,
this works as a tight control of the effective sample temperature through the well
known temperature dependence of pure Si SPER rate (formula 1.54) [Ols88].
Figure 2.14 – SPER rate as a function of c-α interface depth extracted from TRR trace of
sample A (Ge), A1 (Ga+Ge), C1 (Ga), C3 (B) and A3 (B + Ge) specified in table 2.d. The
temperature of annealing is 546 ± 0.5 °C. The insert of figure reports the concentration profiles
of B, Ga and Ge versus the c-α interface depth from SRIM simulation [Zie85].
Figure 2.14 shows the SPER rate versus the c-α interface depth extracted by
TRR signal of samples amorphized with Ge+ at 5x1020 cm-3 without and with
implantation of B+ or Ga+ at 1x1020 cm-3 (black continuous lines) or amorphized
with Ge+ at 3x1019 cm-3 and implanted with B+ or Ga+ at 1x1020 cm-3 (dashed lines)
annealed at 546°C. In the insert of the same figure the simulated B, Ga and Ge
concentration profiles by SRIM code [Zie85]. The SPER rate in Ge+ implanted Si
decreases by only about 6% for a Ge concentration of 5×1020 cm-3. These data are in
agreement with the SPER rate reduction due to compressive stress as expected
57
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
during SPER by activation strain tensor theory [Lu91,Azi91] of biaxial stressed Si
sample extrapolating the stress value for this concentration of Ge (1%). In fact, for
sample A, at 100 nm of depth, σxx= σyy= - 67 MPa, with x and y along Si(100) inplane directions, that means using the relationships 1.62 and 1.63:
⎛ σ xx ΔVxx + σ yy ΔVyy ⎞
⎟⎟
(2.7)
v = vunstrained ⋅ exp⎜⎜
kT
⎝
⎠
with ΔVxx = ΔVyy = 0.14 ΩSi (the Si atomic volume is ΩSi = 12x10-6 m3·mol-1) and T =
546 °C, a normalized SPER rate of about v = 0.96 at 545 °C, which is in well
agreement with the experimental data v = 0.94 ± 0.03 obtained in Fig. 2.14 (curve
Ge at 100 nm depth).
However, the B doped sample experienced about 60% SPER rate
reduction when the Ge concentration changes from 5 x1020 to 3x1019 cm-3, while this
reduction is about 35% for the Ga doped samples. A strain effect on the regrowth
velocity of doped Si can be inferred. In fact, the same concentration of Ge in B or
Ga doped samples produces different reductions of the SPER rate. The average
strain value is determined by the relative concentration of impurity atoms. B
doping at 1x1020 cm-3 generates tensile parallel strain of about 5.8x10-4, while Ga
produces compressive strain of -1.6x10-4 at the same concentration. By adding a Ge
concentration of the 5x1020 cm-3, the strain of these samples decreases of about 3.7x10-4 (see table 2.d). These SPER rate reductions can not be explained by the
theory of activation strain tensor of Aziz et al. [Azi91] which predicts the SPE rate
as a function of external pressure (as, moreover, happens for our undoped
samples). In fact, to agree with the SPER rate reduction as function of the strain for
B- and Ga-doped samples, the activation volume can be of about ΔVxx = ΔVyy = 4.2
ΩSi. This value is higher than those expected (ΔVxx = ΔVyy = 0.14 ΩSi) in the
expression 2.7.
Therefore, the data of Fig. 2.14 first indicate that the strain affects the
regrowth velocity of doped Si more efficiently than in intrinsic Si.
The reduction of the regrowth velocity with the strain is in agreement with
the observation by Campisano [Cam82] at a given dopant concentration, the
regrowth velocity scales with the absolute value of the difference of the covalent
radius of the impurity and Si. However, from [Cam82] data must be handled with
care since the activation of the dopants was not tested and, it is known that some
dopants form complexes so that the difference of the covalent radius is not truly
indicative of the actual strain.
The GFLS model and the degenerate Fermi energy level calculation
[McC99,Joh07] have been applied for the fist time to calculate the SPER rate
enhancement for Ga 1x1020 cm-3. The formula predicted that the SPE rate increment
58
2.4 Solid phase epitaxy of doped and strained Si
for doped Si with respect intrinsic Si is given from the following expression (se
section 2.1):
[(
[(
) ]
) ]
vd 1 + g + exp E + − EF / kT
,
=
vi 1 + g + exp E + − EFi / kT
(2.8)
where E+ and g+ are respectively the level energy of defect and its degeneration; EF,
k and T have the usual meanings. EF was calculated in the case of degenerate
semiconductor (see sections 1.3.2, 1.3.4 and 1.3.5). Our experimental data (Fig.2.14,
sample C1) indicated a SPE rate increase of 2.9 at 546 °C, the calculated energy
level responsible for SPER mechanisms at Ga concentration of 1x1020 cm-3 is E+ = EV
+ 0.17 eV, with degeneration of level equal to 1 (dangling bond defect). We
calculated that a boron concentration of 7.8x1019 cm-3 is necessary to produce an
equivalent EF shift of 1x1020 Ga/cm3, and it is EF = EV + 0.117 eV at 545 °C. The SPE
rate enhancement for B at 7.8x1019 cm-3 is 5.8 ± 0.5 (extracted from the works of
Olson and Roth [Ols88] and from Johnson and McCallum [Joh07], see Fig. 2.2b),
which doubles the value obtained for 1x1020 Ga/cm3. In fact, to fit the experimental
data with the degenerate GFLS model, the energy level of defect responsible for the
SPER process must be changed of 60 meV between Ga and B (E+ = Ev + 0.23 eV, for
the latter) at this same EF and annealing temperature while should be independent
from the implanted element. This disagreement in the Si SPER rate enhancement
was also observed with donor dopant which produces the same Fermi energy
level, where the SPER rate change from 2.7 ± 0.3 to 3.2 ± 0.3 for As and P at
1.06x1020 and 1x1020 cm-3 at 560°C, respectively (see Fig.2.2a and Fig.2.2b [Joh07]).
In order to fit these data with the degenerate GFLS model, the defect energy level
(with degeneracy factor g- = 0.5 for DB) is E- = EC – 0.16 eV and E- = EC – 0.21 eV for
As and P respectively (see Fig.2.3a), while the defect energy level should be
independent of elemental species and concentration.
These differences of defect energy level can be attributed to the strain
induced by dopants.
First of all, we evaluated the effect of strain on energy band parameters
that are necessary for the degenerate GFLS model. In fact, it is well know that
tensile strain, as compressive strain, affects the conduction and valence bands and,
consequently, the energy levels localized inside the bad gap [Lee05,Shi05,Sch97]
(see section 1.3). For example, a thin tensile strained Si layer (ε-Si) can be grown on
relaxed SiGe with absolute parallel strain value εII corresponding to 1% of Ge in the
SiGe alloy (εII = - 4x10-4). EC and EV of ε-Si are, in this case, shifted of about -6 and
+4 meV, respectively, with respect of bulk Si at RT. As a consequence the position
of EF can be also changed in ε-Si. In order to take into account this effect, the
simulations of the energy band under compressive or tensile strain by Fischetti et
al. [Fis96] have been used for the parameters EC, EV, and effective masses for the
59
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
degenerate EF calculus (see section 1.3.6). The variation of effective masses with
temperature has been evaluated by using the data of Green [Gre90] and included
in our calculations. The degenerate EF value calculation with the strain dependent
parameters EC, EV, EF and effective masses do not produce an energy shift value
such as to justify a difference of 60 meV between the E+ measured for B and Ga
doped samples. The shift of EF is always lower than a few meV.
Fig. 2.15 shows the normalized SPER rate versus the parallel strain εII for
doped samples with Ga at 1x1020 cm-3, B at 1x1020 cm-3 and co-doped with B at
5x1019 cm-3 and Ga at 5x1019 cm-3. The Ge concentration is 3x1019 cm-3, 2 x1020 cm-3
and 5 x1020 cm-3, in order to change the strain of the sample (as confirmed with HRXRD measurements in some selected samples) but not the EF for each set of sample
1, 2 and 3 (see table 2.d), as confirmed by SRP analyses. The annealing was
performed at 546 ± 0.5 °C. First, we note that the SPER rate retardation introducing
Ge in Si at the same concentration may not be comparable with the reduction in
doped sample. Doping with Ga at 1x1020 cm-3 results in a SPE rate enhancement of
about 2.9 ± 0.3, 2.5 ± 0.3 and 2.1 ± 0.3 increasing the Ge content. For Ge at 5x1020
cm-3 in Si the retardation is of 6% at maximum. For B 1x1020 cm-3 doped samples
the SPER rate enhancement is of about 7.5 ± 0.6, 6.5 ± 0.6 and 4.5 ± 0.5 increasing
the Ge concentration.
Figure 2.15 – Normalized SPER rate at peak concentration (100 nm depth) of
implanted samples of table 2.d versus the parallel strain value. Continuous black lines
are simulation of data from relationship 2.14, with energy level of defect responsible
for SPER of E+ = EV + 0.185 eV and g+ = 1.
60
2.4 Solid phase epitaxy of doped and strained Si
At this low Ge concentration (1 at.% maximum), no other effects such as
corrugation of c-α interface or extended defects have been observed, they have
been reported to occur in SiGe alloy when the Ge content pass 4-6% [Ell93].
Since the degenerate GFLS model [Joh07] can not explain our data by using
one level energy of the defect, we modified this model in order to take analyze the
SPER rate of stressed and doped samples.
In the GFLS model [Lu91], the SPER is promoted by a defect that diffuse at
the c-α interface. The c-α interface velocity is proportional to the concentration of
neutral defect [D0] and to its charge counterpart [D+] (the negatively charge
counterpart can be neglected in p-type doped Si) through a term that takes into
account the uncharged and charged defects mobilities A and A’, respectively,
along the c-α interface:
v = A[D0] + A’[D+].
(2.9)
While the number of neutral defect depends on temperature and pressure
but not on the Fermi energy [Vec80] (see section 1.3.3), the ratio from the positively
charge and neutral defects depend only on EF through the relationship:
[D ] = g
+
[D0 ]
+
[(
)
]
exp E + − EF / kT .
(2.10)
Considering that for Ge implanted Si sample EF is near the mid-band gap,
the concentration of charge defect can be neglected because the value E+ - EF can be
much lower than kT at the SPER temperatures range. Therefore, for intrinsic Si
sample, the SPER rate is dominated by neutral defects. The pressure dependence of
the SPER rate was determined by Lu et al. [Lu91]. They supposed an activation
volume of creation and migration of dangling bond. The concentration of neutral
defects depends on temperature and pressure but not on EF [Vve80] Therefore, the
concentration of neutral defects as a function of the applied stress [D0]ε can be
extrapolated by the theory of Aziz et al. [Azi91], and the formula 2.7 is equivalent
to the expression:
[D0 ]ε = [D0 ]exp(2σ xx ΔVxx / kT )
(2.11)
In order to use the parallel strain modulus εII, the concentration of neutral defects
can be rewritten as following:
[D0 ]ε = [D0 ]exp(c attε II / kT )
(2.12)
where catt represents an energetic term of proportionality with the strain and it is
given by catt = 2YΔVxx, Y is Young modulus and ΔVxx is the activation volume,
respectively, it is catt = 5.6 eV (Y = 180.5 GPa for Si into direction [100], ΔVxx = 0.14
ΩSi atomic volume [Bar98]).
61
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
For p-doped and stressed sample, the SPER rate enhancement is given by:
[ ]
[ ]
[ ]
'
+
vd A[D0 ]ε + A D d A[D0 ]exp(c attε II / kT ) + A' D +
=
=
vi
A[D0 ] + A' D + i
A[D0 ] + A' D + i
=
c att ε II
e kT
[ ]
d
=
[ ]
⎡
⎤
A' D + d
+
1
exp( −c attε II / kT )⎥
⎢
A [D0 ]
⎣
⎦
+
'
⎡
A D i⎤
⎢1 +
⎥
A [D0 ] ⎦
⎣
[ ]
At this step we assume that the concentration of charged defects [D+]d in
the doped sample is affected by the strain with a similar dependence of [D0] on εII
through a term c that should be determined. We can not exclude that the mobility
of the charged defects (A’) could have a own strain dependence, on the other hand
the activation volume predicted by Aziz et al. [Azi91] does not distinguish between
the formation and the migration of defects responsible of the SPE mechanism.
Therefore, at a first approximation we can assume that the product A’[D+]d
depends on the strain as following:
[ ]
[(
]
A' D + d
= g + exp E + − EF / kT exp(cε II / kT ) ,
A [D0 ]
)
(2.13)
The term c has the same meaning of catt for the intrinsic case and it will be
determined by fitting the experimental data.
Then, the SPER rate enhancement is given by:
[(
) ]
[(
1 + g + exp E + − EF / kT exp[(c − c att )ε II / kT ]
vd
.
= exp(c att ε II / kT ) ⋅
vi
1 + g + exp E + − EFi / kT
)
]
(2.14)
Our experimental data of Fig. 2.15 can be simulated by the formula 2.14 with:
1) c = 94 eV;
2) E+ = EV + 0.184 eV.
It is noteworthy that we can fit all the experimental data of Fig. 2.15, not
only for stressed intrinsic samples but also for stressed and doped samples
(continuous black lines) with the same parameters c and E+, independently from
the implanted chemical species. The term exp(cεII/kT) is necessary to simulate the
SPER rate reduction of p-doped sample increasing the compressive strain due to
Ge. The meaning of this term will be discussed later.
62
2.4 Solid phase epitaxy of doped and strained Si
Fig. 2.16 shows some measured SPER rates (symbols) reported in literature
for B doped Si as a function of annealing temperature. Our simulations with
formula 2.14 (lines in Fig. 2.16) are in good agreement with the literature data,
within a scattering of 5 meV of the evaluated E+ level, in fact the trend looks well
reproduced with E+ in the range of EV + 0.184 ÷ EV + 0.194 eV. Moreover, the SPER
rate enhancement for n-type As (up triangles) and P (circles) doped Si (with
concentration that given the same degenerate Fermi level value, in between 1 meV,
in the range of temperature in between 460 and 660 °C) has been fitted by using the
same procedure of p-type. The n-type data have been simulated with
1) c = 94 eV;
2) the same strain dependence through an analogous formula of 2.14 for ntype dopants (with degeneration g- = 0.5 instead 1 for p-doped case):
[(
)
]
1 + g − exp EF − E − / kT exp[(c − c att )ε II / kT ]
vd
= exp(c attε II / kT ) ⋅
;
vi
1 + g − exp EF − E − / kT
[(
)
]
(2.15)
3) the energy level of negative charge defect of E- = EC – 0.175 eV for both
As and P elements.
Figure 2.16 – Normalized SPER rate behaviour with the temperature of annealing. The
data are extracted from literature for B, P and As at concentration and from references
specified in the figure.
63
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
In conclusion, the SPER rate behaviour of stressed doped samples have
been reported. The theory of activation strain tensor and the GFLS model for the
case of degenerate semiconductors has been unified and a relationship that explain
the literature data of enhancement or reduction of SPER rate in presence of
dopants or strain has been found and tested. It has been figured out that positive
and negative charged defect responsible for the α-c transition have energy levels of
E+ = EV + 0.184 eV and E- = ΕC − 0.175 eV, respectively, and the proportionality
term with strain is the same (94 eV) for both n- and p- type dopants.
The term exp(cεII/kT) (see relations 2.13, 2.14 and 2.15) can be attributable to
a change in the ratio of charged and uncharged defects concentrations ([D+]d/[D0]
and [D-]d/[D0]) with the strain or in a difference in the ratio between the mobilities
of the charged and neutral defects responsible of the SPER (A’/A, and A’’/A).
These two hypotheses are valid only if both mobililies and/or concentrations of
positively and negatively charge defects present the same dependence with the
strain, proportional to exp(cεII/kT).
It is important to note that Fermi level calculation and the elastic constant
of a semiconductor near a crystal-amorphous interface at temperatures of the Si
SPER (higher than 500 °C) are still lacking. In the degenerate GFLS model the band
structure of a crystal has been assumed, and the effective masses and density of
states requisite for the band energy levels calculation are not known at the SPER
temperature (> 400°C) [Gre90]. A change of the elastic constant value in
amorphous Si with respect crystalline Si was supposed by Phan et al. [Pha04].
Moreover, the influence of the amorphous layer in the band structure was
supposed by Williams and Elliman [Wil83] and Johnson and McCallum [Joh07].
However, a theory that explain the influence of these parameter in the SPER rate
are still lacking.
Further theoretical studies supported by further experimental data are
required to clarify the dependence of the SPER rate on strain induced by
substitutional impurities in Si, as highlighted by our experimental work.
64
2.5 Solid phase epitaxy of Cz and Fz Si
2.5
Solid phase epitaxy of Czochralski and
Floating zone Si
Some physical properties of Si are influenced by the presence of a small
content of impurities, about 10-100 ppm. A crystal of Si possesses a certain number
of foreign elements such as carbon and oxygen. The concentration of these
contaminants depends on the crystal growth method. Usually, the epitaxial growth
(by physical or chemical vapor deposition) permits the realization of crystalline Si
with concentration of O and C lower than 1016 atoms/cm3 (Si concentration is
4.99x1022 atoms/cm3).
The Czochralski (Cz) method allows the growth of a monolithic block of
crystalline Si by the progressive cooling of melted Si placed at contact with a
crystalline oriented seed. The concentration of contaminants is principally subject
to the cooling velocity. In a Cz wafer common concentrations of O and C are in the
order of 1018 and 1017 cm-3. Concentrations of O and C one order of magnitude less
can be obtained by the Floating Zone (Fz) growth method. The latter consists in the
sliding of radio frequency coils around a monolithic block of crystalline Si (realized
by Cz process). The coils locally melt the Si during their passage. Impurities such
as C or O prefer to remain in the liquid phase because of their low coefficient of
segregation. After several passages along the same direction, the main content of
impurity piles up at one extremity of the Si block which is subsequently removed.
The experiments treated in this section show how the different content of
oxygen between Fz and Cz Si substrate affects the SPER rate of B-doped Si
samples.
2.5.1 SPER rate of intrinsic Cz and Fz Si
One Cz and one Fz Si substrates were amorphized by Ge+ multiple
implantation at room temperature. Doses and energies of Ge implantations are the
same of the set of sample C described in the table 2.b. The amorphous layer
generated is about 310 nm (as confirmed by the previous RBS analysis, see section
2.2.2).
Time resolved reflectivity measurements performed during the SPER at
546 °C show identical c-α interface velocity between the Cz and Fz undoped Si
samples. The SPER rate is about 0.097 ± 0.015 and 0.099 ± 0.015 nm/s for Fz and Cz
samples, respectively, according to the expected value reported by Olson and Roth
(formula 1.54).
65
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
2.5.2 SPER rate of p-doped Cz and Fz Si
The Cz and Fz amorphous substrate were implanted at room temperature
with B or Ga at the same doses and energies of set 1 and set 2 specified in table 2.c.
The peak concentration of these element is 1x1020 cm-3. These p-doped amorphous
samples were successively re-crystallized at 546 °C with the apparatus described in
the section 2.3. Fig. 2.18 shows the SPER rates extracted from the TRR
measurements as a function of depth for samples doped with B or Ga. The two
dopants reveal opposite behaviours. Ga-doped samples show the same SPER rate
for both Fz and Cz substrate, i.e. 0.29 ± 0.03 nm/s at dopant peak (100 nm depth).
B-doped Fz substrate exhibits at peak a SPER rate of 1.07 ± 0.05 nm/s instead the
0.75 ± 0.04 nm/s for the Cz sample implanted with B at the same conditions.
1.2
Fz, B-doped
Cz, B-doped
Fz, Ga-doped
Cz, Ga-doped
SPER rate [nm/s]
1.0
0.8
0.6
0.4
0.2
0.0
0
40
80
120
160
200
240
Depth [nm]
Figure 2.18 – SPER rate as a function of the c-α interface depth of Fz and Cz samples
doped with B or Ga with peak concentration of 1x1020 cm-3 at about 100 nm depth. The
annealing temperature is 546 °C. The SPER rate for the B-doped samples is different for
the two type of substrate, while Ga doped Cz and Fz Si have the same SPER rate.
In order to verify the different SPER rate dependence of a B-doped sample
from the substrate, we also implant B in a Cz, Fz and a Epy Si substrate preamorphized with Ge implantation of set C (see table 2.b). B was implanted at RT at
doses and energies of set 2 of table 2.c, the peak concentration is 5x1019 B/cm3 at
100 nm depth. The SPER rate of these samples versus the c-α interface depth are
reported in Fig. 2.19. The re-crystallization temperature was 546°C.
66
2.5 Solid phase epitaxy of Cz and Fz Si
Figure 2.19 – SPER rate of B-doped Cz (down triangles), Fz (solid squares) and Epy (open
squares) Si substrate as a function of the c-α interface depth. The annealing temperature
was 546 °C.
At dopant peaks the SPER rate are 3.9 ± 0.3, 4.8 ± 0.3 and 5.2 ± 0.3 nm/s for
Cz, Fz and Epy Si substrates, respectively. At this dopant concentration (5x1019
cm-3) our model described in the previous section predicts a Si SPER rate
enhancements of 3.8 at 546 °C. This value agrees with the measured SPER rate of
Cz sample. Nevertheless, the B-doped Si Fz substrate shows an higher SPER rate
with respect to Cz Si substrate, as observed in Fig. 2.18 at B concentration peak of
1x1020 cm-3. Moreover, the Epy substrate in this case shows a SPER rate higher than
the Fz substrate. The Epy substrate nominally possesses a number of intrinsic
defects lower than the Fz substrate. Therefore, the difference in the SPER rate of Bdoped samples can be explained by an interaction between B atoms with the
different content of impurities in the two substrates. Since this difference in the
SPER rate is not observed in intrinsic or Ga-doped samples, the impurity elements
involved in this effect must be reactive with B atoms and not with Ga atoms.
In solar cells realized with Cz Si substrate doped with B in the p-type
region, a reduction of 5-10% in the energy conversion efficiency was observed with
respect the same structure realized with a Fz substrate. These loss of efficiency
between Cz and Fz substrate was not observed if the p-type region is realized with
Ga doping. The calculation of Adey et al. [Ade04] reveals that substitutional B
atoms trap oxygen dimers with the subsequent formation of B-O2. The formation
mechanisms of these B-O2 complex involved the transition between charged
67
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
configurational states which are responsible for the efficiency degradation
observed in the B-doped Cz substrate with respect the Si Fz substrate, where the
concentration of oxygen is at least one order of magnitude lower. The theoretical
analysis of Du et. al. [Du06] demonstrated that the formation of the GaO2 complex
is energetically forbidden and explains why the coexistence of O and Ga does not
cause the kind of degradation observed in Cz solar cells when, instead, O and B
coexist.
The interaction between O and B atoms can explain the different SPER rate
observed in Fz and Cz Si substrate and, on the contrary, the absence of differences
of re-crystallization velocity if the two substrates are doped with Ga.
The nominal oxygen concentration of our Cz sample (p-type, 4-10 Ω·cm) is
1.5x1018 cm-3. If all the O is in the form of O2, the maximum concentration of B-O2
that can be created is 7.75x1017 cm-3. Therefore, under this hypothesis, compared
with the B peak concentration, the reduction of the active B concentration is the
0.775 %. The loss of this active concentration of B can not change significantly the
Fermi energy value. In fact, the concentration of active B in Cz and Fz samples was
measured by spreading resistance profile, revealing the same expected value at
peak concentration (about 100 nm depth).
Another variable that engrave on the SPER rate value is the strain. The
formation of a certain amount of B-O2 clusters can affect the total amount of strain
during the heteroepitaxial growth. Even if the maximum concentration of B-O2
complex are smaller, these complexes can vary considerably the strain value.
Nevertheless, X-ray diffraction measurements performed on the B-doped Cz and
Fz substrates show an identical value of parallel strain. The minimum detectable
strain by our HRXRD instrument is about 1x10-4 According to the formula 2.14
which predicts the strain variation of the SPER rate for p-doped Si, the observed
values of vd/vi between 7.5 and 10.7 (at 545°C for 1x1020 B/cm3) can be justified by
an increment of parallel strain in the range of 4x10-4, which can be detectable by
HR-XRD.
Even though, the presence of H atoms in the order of 1019 cm-3 affects the
SPER rate, as observed by Roth and Olson for intrinsic Si samples [Rot93] and
Johnson and McCallum for As-doped Si samples [Joh04]. The last, using the GFLS
model proposed by Lu et al. [Lu91], supposed that H atoms reduce the number of
DB, which are the main suspected defects responsible for the α-c transition. In fact,
the SPER rate decreases with the concentration [H] of H, and saturates when [H] is
in the order of 3x1019 cm-3, adjoining the measured concentration of dangling
bonds in amorphous Si (2-4 x1019 cm-3) [Sto93]. In fact, the introduction of H atoms
are used to passivate the dangling bonds in amorphous Si preserving the electrical
properties of such material [Tsu87], as discussed in section 1.1.4. Therefore, the
presence of certain amount of H atoms can reduces of the same quantity the
68
2.5 Solid phase epitaxy of Cz and Fz Si
concentration of dangling bonds, which are indicated as the defects responsible for
the amorphous-crystal transition in the GFLS model, without a change in the Fermi
energy. Johnson and McCallum [McC99] deduced the following expression for the
Si n-type SPER rate enhancement:
[ ]
[ ]
vd ([D0 ] − [H ]) + D
=
vi
[D0 ] + D− i
−
d
A([D0 ] − [H ])
=
⋅
A[D0 ]
1+
[D ]
−
d
[D0 ] − [H ] ,
[D ]
1+
−
(2.16)
i
[D0 ]
that fit the experimental data of SPER rate reduction in H-contaminated Si samples.
Spreading resistance profile confirms the same dopant activation and
therefore the same Fermi energy level for B-doped Cz and Fz, as well as HR-XRD
indicates the same strain value (within the experimental error). Therefore, the
probable formation of B-O2 do not change the B activation and do no change the
average strain of the doped layer. Is it possible that the B-O2 defects change the
number of avalaible DBs by acting in a similar way of H? In order to investigate
this effect, three samples were prepared by implanting O in B doped Cz and Fz Si
(samples of Fig. 2.18) at different O concentration, as reported in table 2.e. Doses
and energies are chosen to obtain a region with a quite constant concentration of O
between 60 and 130 nm depth, including the B peak concentration of 1x1020 cm-3
(100 nm depth). The values reported in table 2.e are referred to the peak
concentration of O: 1x1018, 6x1018 and 2x1019 cm-3.
set
O1
O2
O3
Dose
[cm-2]
1.08x1013
Energy
[eV]
45
3.3x1012
20
6.48x1013
45
1.98x1013
20
2.17x1014
45
6.67x1013
20
Oxygen peak
concetration [cm-3]
1x1018
6x1018
2x1019
Table 2.e – Data of oxygen implants performed in the Fz and Cz amorphous Si samples.
Fig. 2.20 shows the TRR SPER rate at 546 °C of the Fz Si sample implanted
with O at peak concentration of 2x1019 cm-3 and the O profile as a function of
depth. These data confirm the observation by Kennedy et al. [Ken77] with an
improved sensitivity, since those authors used RBS analyses on furnace partially
re-growth samples to evaluate the SPE rate (see section 1.4.2.1). Our data indicate
the SPER rate considerable decreases at depth of about 160-170 nm, when the O
concentration from SRIM simulation is of about 1x1019 cm-3. So, also for the
69
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
intrinsic Si, the concentration of oxygen implanted can affect the SPER rate. This
effect can induce an error in our determination of the normalized SPE rate of
doped Si, since we used the intrinsic Si SPE rate as a reference.
1.2
SPER rate [nm/s]
-3
O concentration [cm ]
1.0
0.8
O profile
(set O3)
19
10
0.6
0.4
0.2
0.0
18
0
50
100
150
10
250
200
Depth [nm]
Figure 2.20 – SPER rate (left scale) of Fz α-Si sample implanted with O at peak
concentration of 2x1019 cm-3 (scale of O in the right side) during annealing at 546 °C.
Fig. 2.21 shows the normalized SPER rate enhancement at peak
concentration of 1x1020 B/cm3 (100 nm depth) during the re-growth at 546 °C
versus the nominal O concentration expected from the SRIM simulation of
implants performed (with the addition of that nominal of Si substrates). The
decreases of SPER rate enhancements is clearly visible. Especially for the Fz Si
sample implanted with O at peak of 1x1018 cm-3, that contains an equivalent
amount of O present in the O-unimplanted Cz substrate.
Normalized SPER rate @ 545°C
20
12
11
10
Cz
Fz
Fit linear (expression 2.18)
9
8
7
6
5
4
3
2
1
0
0.0
-3
B-doped samples 1x10 cm
18
5.0x10
19
1.0x10
19
1.5x10
19
2.0x10
19
2.5x10
19
3.0x10
-3
Oxygen concentration [cm ]
Figure 2.21 – SPER rate enhancements as a function of substrate O concentration of
the B-doped Cz and Fz a-Si samples implanted with three different content of O (see
table 2.e). The re-growth temperature is 546 °C.
70
2.5 Solid phase epitaxy of Cz and Fz Si
Moreover, if all the O concentration of 2x1019 cm-3 forms O dimers, the
maximum concentration of O2 is 1x1019 cm-3. If all these dimmers O2 bind B atoms,
the active concentration of B decreases of 10% (because the B concentration in the
samples is 1x1020 cm-3), causing a considerable reduction of the active B
concentration and, consequently, a variation of the Fermi energy level. Therefore,
in the following calculation we will exclude the data about high O concentration.
In order to explain the dependence of the SPER rate enhancement with the
formation of BO2, two hypotheses can be raised. The first is the presence one B-O2
cluster that causes a reduction of a certain amount f of dangling bonds. In this way,
the normalized SPER rate can be written:
[ ]
vd ([D0 ] − f [BO2 ]) + D +
=
vi
[D0 ] + D+ i
[ ]
d
A([D0 ] − f [BO2 ])
=
⋅
A[D0 ]
1+
[D ]
+
d
[D0 ] − f [BO2 ]
1+
[D ]
+
(2.17)
i
[D0 ]
with as a similar expression of 2.16, where instead the concentration of H there is
the concentration [BO2] of B-O2 complexes. The formula 2.17 predicts a linear trend
of SPER rate as a function of the O2 concentration, because the Fermi energy and
the strain do not change. Excluding the two data at high O concentration, the linear
fit of data in Fig. 2.21 gives:
vd
(2.18)
= 9.4 − 1.32 ⋅ 10 − 18 [BO2 ]
vi
From the expression 2.17, the SPER rate is zero if [D0] = [BO2], therefore, from 2.18
[D0] = 7.1 x1018 ± 1.5 x1018 cm-3. The obtained value is lower than the expected
dangling bonds concentration of 2-4 x1019 cm-3 reported by other authors [Sto93].
Moreover, this effect implies a stop of crystallization with the presence of about
1.5x1019 O atoms/cm3, which is not observed experimentally.
Another hypothesis is the reduction of charged and/or uncharged DBs
mobility in presence of BO2 complexes. In this way, a possible explanation of the
reduction of SPER rate in presence of these B-O clusters is a mechanism of capture
and re-emission of a DB of this complexes at the α-c interface during the SPER. As
evidenced by our experimental observations, further theoretical studies about the
evolution and the interaction of BO2 complexes, specially with an amorphouscrystalline interface, are required.
71
LOW STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
2.6 Concluding remarks
In this chapter we showed how it is possible to vary the Si strain by
implanting Ge and p-type doping impurities. The Ge concentration is always lower
than 1 at.%, far below the concentration value (6 at.% in the SiGe alloy) at which
the strain is able to generate defects or crystal-amorphous interface corrugation
during Si SPER. Samples have been implanted with B and Ga or co-implanted with
B and Ga maintaining the peak concentration at 1x1020 cm-3. Our approach is to use
implantation with isovalent impurities (B and/or Ga) and Ge implantation to vary
the strain in a Si layer independently of the dopant concentration and,
consequently, the Fermi level position.
The SPER rate of doped samples diminishes enhancing the compressive
strain for the same Fermi energy. A theory based on the main known models for
the determination of SPER rate of doped Si, the generalized Fermi level shifting in
the case of degenerate semiconductors, was improved to clarify the role of
impurity-induced strain in the change of Si SPER. Our results demonstrate that the
strain affects the SPER rate of doped Si more efficiently than in undoped Si. The
same dependence of the SPER rate from the strain value is observed in both n- and
p-type doped Si layer, confirming the independence of the fit parameter from the
chemical species.
Moreover, we present an influence from the type of Si substrate
(Czochralsky and Floating Zone) on the SPER rate in B-doped samples that is not
observed in undoped or Ga-doped samples. This effect can be attributed to B-O
complexes formation and, consequently, from the different content of O in Cz and
Fz substrates.
72
Chapter 3
High strain effects on
Si solid phase epitaxy
The main obstacle in achieving high quality strained SiGe alloys on Si by
solid phase epitaxy is the high concentration of defects formed during the recrystallization process. A stress of about one GPa (equivalent to around 6 at.% of
Ge in the SiGe alloy) along the in-plane Si(100), produces planar defects after the
re-growth of a few tens nanometers of a strained SiGe layer.
In this chapter, the study of the solid phase epitaxy re-growth of undoped,
doped or co-doped Si0.83Ge0.17/Si films will be dealt. The SPER rate and the
morphological evolution of the crystal-amorphous interface will be investigated to
understand the mechanism of introduction of defects in strained SiGe film.
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
3.1 Solid phase epitaxy of strained Si1-xGex
The electrical properties of strained Si1-xGex (ε−Si1-xGex) layers are different
with respect to those of relaxed films (see section 1.3.5) permitting the design of
devices with better performances [Sch97]. Commonly, ε−Si1-xGex films are realized
by deposition of Si and Ge atoms on a Si substrate by chemical vapor deposition
(CVD) or by molecular beam epitaxy (MBE). However, ion implantation is very
attractive and convenient for device manufacturing. For this reason, the possibility
to realize ε−Si1-xGex layers within the ion implantation technology is strongly
persecuted for microelectronics applications.
In the past years, several works focused on the ε−Si1-xGex SPER
[Pai91,Hon92,Lee93]. The first experimental behaviour pointed out is the SPER
kinetics of ε−Si1-xGex. Many groups reported that SPER rate of ε−Si1-xGex is lower
than pure Si [Pai91,Hon92,Lee93]. In particular, Lee et al. [Lee93] used time
resolved reflectivity (TRR) to study the SPER rate in situ during annealing at
temperatures between 500 °C and 600 °C of Si0.88Ge0.12 film on Si. They confirmed
that the average SPER velocity in ε−Si1-xGex grown by MBE and amorphized with
Si+ ion implantation is lower than in pure Si. Furthermore, they show that, at
constant temperature, the SPER rate for ε−Si1-xGex varies as a function of depth and
the activation energy depends on the interface position ranging between 2.94 eV
and 3.11 eV, i.e. higher than in pure Si (2.68 eV). For ε−Si1-xGex alloys (x = 0.054,
0.116 and 0.170) Paine et al.[Pai91] reported a SPER activation energy of 3.2 ± 0.2
eV, quite independent on x in their investigated range. Hong et al. [Hon92]
confirmed the prediction of Aziz et al. [Azi91] that biaxial compressive stress in the
growing film (as in Si1-xGex on Si) retards growth by about twice the magnitude of
a uniaxial stress, where
vε − SiGe = vrelaxed − SiGe exp(2σ xx ΔVxx / k BT ) ,
(3.1)
with ΔVxx = 0.17 ± 0.03 ΩSi according to the value obtained by Aziz et al. (ΔVxx =
0.15 ± 0.01 ΩSi) applying uniaxial external stress to Si. Corni et al. [Cor98] ratified
this result. They deposited on (100) Si by CVD two ε−Si1-xGex alloys enriched in Si.
The ε−Si1-xGex were re-crystallized at different temperatures in the range 500 ÷ 620
°C. The c-α interface remains flat during re-crystallization, and the films grow
coherently with the substrate producing crystalline, defect-free, strained
heteroepitaxial layers. The kinetics of the process, compared with literature data of
the corresponding relaxed alloys, are affected by the presence of the in-plane stress
at the interface, resulting in a decrease of the velocity, in quantitative agreement
with the predictions of the activation strain model in the case of biaxial stress.
74
3.1 Solid phase epitaxy of strained Si1-xGex
Nevertheless, the theory of Aziz et al.[Azi91] is inconsistent with experiments of
Lee et al. [Lee93] using real time TRR measurements, because at the Si/Si1-xGex
interface there is an abrupt change of stress while they do not observe a net change
of SPER rate as, instead, predicted by the expression 3.1.
Moreover, Paine et al. [Pai91] also reported that, starting from the Si/Si1xGex interface, only a few nanometers of Si1-xGex re-grow free of defects, this SiGe
layer being probably coherent (i.e. strained) with the underlying Si layer. The small
thickness of such defect-free region compared to SiGe realized by vapor deposition
is the main problem to realize high quality ε-Si1-xGex by ion implantation. Elliman
et al. [Ell93,Ell94] have shown that defects predictably appear during SPER of Ge
implanted Si layer when the Ge peak concentration is above 6%, in accordance
with the critical thickness of Paine et al. [Pai91]. The last suggests that the
formation of defects depends on the strain energy incorporated in the film. Defects
as stacking fault and twins will appear when they are able to relax a significant
amount of energy [Hon92]. This value is predictable through the Matthews’s
theory of energy balance between the defects introduction and strained layer
growth [Mat70], as Paine did considering 60° dislocations and 90° partial
dislocations and stacking faults (see Fig. 3.1).
Figure 3.1 – Plot showing critical thickness theory of Matthews [Mat70] applied
to the two cases where strain is relieved by arrays of defects specified in the
graph. In the abscissa the Ge concentration x in the Si1-xGex alloy [Pai91].
An experimental observation of Hong et al. [SHo92] contradicts the
approach of critical thickness calculation. They reported that the defect free layer
depends on the ε− Si1-xGex thickness re-grow during SPER. In fact, only about 30
nm of Si0.9Ge0.1 re-grows free of defects, starting from the Si/Si1-xGex interface. In
other samples a pre amorphization was performed in order to produce different
thicknesses of ε-Si0.9Ge0.1 seed layers. In these samples the defects formation does
75
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
not depend on the thickness of the strained layer but on that of the regrown layer.
This observation leads to the supposition that the formation of defects in ε-SiGe is
probably determined by the competition between re-growth and dislocation
nucleation [SHo92].
Another important aspect involved in the SPER of ε-Si1-xGex is the
roughness of the c-α interface. In fact, Corni et al. reported [Cor96] that in Geimplanted Si samples the c-α interface starts to roughen when it moves from Si into
Si1-xGex. If the growth occurs along different directions than the original [001],
strain accumulation take place, thus planar defects can be formed when the
regrowth has overcame a critical thickness depending on the Ge concentration
[Lie95,SHo92] value. Elliman and Wong [Ell96] prepared α-Si1-xGex alloy layer by
implanting (100) oriented Si wafer with 800 keV Ge ions at room temperature to a
fluence up to 7.2x1017 cm-2. The peak of the Ge concentration is 34 at. %.
Figure 3.2 – Ge profile (a) and SPER rate (b) as a function of depth extracted from
TRR trace. The arrows indicate the position at which crystallization was stopped for
TEM analysis of the c-α interface determining the empirical law of direct
proportionality between SPER rate and c-α interface roughness expressed in the
formula 3.2 [Ell96].
Their TRR measurements confirmed a constant SPER rate from the Si
substrate into the tail of Ge profile, but decrease when c-α interface reaches Ge
concentration of about 6 at.% (Fig. 3.2). This effect is attributable to the
introduction of strain-relieving defects that relax the ε-Si1-xGex film. At higher Ge
concentration, the SPER rate enhances and decreases following the Ge
implantation profile. Moreover, Elliman and Wong [Ell96] notes that the c-α
interface roughness also follows the c-α interface velocity. They proposed a simple
theory where the c-α interface roughness r is directly proportional to its velocity v:
76
3.1 Solid phase epitaxy of strained Si1-xGex
r( d ) = r( do ) ⋅
v( d )
,
v( do )
(3.2)
where d is the c-α interface depth and do its initial depth.
Several experiments with P or Sb implants in Si1-xGex (x in the range of 0.08
÷ 0.18) were performed [Lie95,SHo92,Atz94,SHo93] to increase the thickness of the
free-defect layer starting from a Si/Si1-xGex interface, supposing a time of delay to
introduce defects, or to study any alteration in the c-α interface evolution during
SPER. In fact, the incorporation of P or Sb atoms in Si1-xGex alloys enhances the
SPER rate with respect the intrinsic material, if implanted at concentration above
the solid solubility limit (SSL). The SSL for Sb or P for Ge concentration less than 20
at.% in the alloy is approximately the same of pure Si [SHo93,Atz95]. Nevertheless,
the same results of a pure Si1-xGex alloy are observed in term of c-α interface
roughness and defect-free layer thickness. Only C was effective in this sense
causing strain compensation with Ge [Im93]. Antonell et al. [Ant96] showed that
implanting C at peak concentration of 1 at. % in Si0.88Ge0.12, the compressive strain
due to Ge is compensated by the tensile strain of substitutional C atoms. Therefore,
after the SPER, the defect-free layer thickness increases to about 70-80 nm, while it
was only 30-40 nm for the un-implanted alloy.
The literature overview reported above shows as the knowledge of the
mechanisms of defect introduction in SiGe during SPER is still lacking and in some
cases in contrast, probably because of several parameters having important and
interdependent roles in these phenomena (Ge fraction, SiGe thickness, strain
accumulation, interface sharpness, dopants type and level). There are many
suppositions concerning defects nucleation, SPER rate behavior and c-α interface
roughness evolution. The theory of Lu et al. and Aziz et al. [Lu91,Azi91] of stress
influence on the SPER mechanism does not seem explain completely the observed
experimental behaviors of ε-Si1-xGex SPER previously described.
In the experiment reported here, the SPER of strained Si0.83Ge0.17/Si
heterostructures grown by MBE and amorphized by Ge+ ion implantation is
studied. Time resolved reflectivity (TRR) was used to measure the SPER rate and
to evaluate the activation energy of the process. Transmission electron microscopy
(TEM) was used to investigate the crystalline quality of the re-grown layers. Since
in Si and SiGe the introduction of dopants under the SSL increases the SPER rate
[Lie95,SHo92,Atz94], some samples were implanted with Sb, B, P, C or coimplanted with B and P, under their solid solubility limits, in order to clarify the
role of charged impurities and C in the Si SPER mechanisms at high stress (the case
of SiGe epitaxial growth), c-α interface roughness evolution and defect
introduction.
77
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
3.2
Sample preparation
A strained Si0.83Ge0.17 layer, 135 nm thick, on top of a 275 nm Si buffer layer
was grown on Si (001) Cz substrate using molecular beam epitaxy [Her89].
Before the deposition, the Si Cz substrate was cleaned by the following
procedure. First, the Cz substrate was inserted into an ozonizer for 90 seconds,
creating an oxide layer reacting with impurities at the surface such as C.
Afterwards, the substrate was chemically cleaned hatched with a 1% solution of
HF in H2O for two minutes in order to remove the oxidized layer created by the
ozonizer. After this procedure, the Si surface remains inert for several minutes.
During this time, the substrate was placed in a load-lock chamber at a pressure of
about 10-7 mbar. In this stage, the sample was heated at 200°C by quartz lamps.
Later, the substrate was transferred into the deposition chamber at a base pressure
of about 5x10-11 mbar. The substrate was then heated at temperatures of about 500
÷ 800 °C to allow the 2x1 reconstruction of the (100) Si surface, meaning a lower
level of contaminants mandatory to have the epitaxial growth. The occurred
reconstruction was monitored in situ by reflection high energy electron diffraction
technique [Her89], using a 15 kV electron beam.
During the growth, the substrate temperature was maintained at 550°C
and the total deposition rate was 0.1 nm/s. Transmission electron microscopy
analysis and Rutherford backscattering spectrometry confirmed the SiGe thickness
(Fig. 3.3) and composition, as well as the absence of any extended defect in the asgrown sample.
Si0.83Ge0.17
135 nm
Si
Buffer layer
275 nm
(100) Si Cz
Figure 3.3 – A structure consisting in 135 nm of Si0.83Ge0.17 and 275 nm of Si was
growth by molecular beam epitaxy on a cleaned (100) Si Czochralski substrate. The
arrow indicates the growth direction. The cross-section TEM image (right side) of the
as grown samples provides information of Ge presence due to the mass contrast
sensitivity of [400] two beam condition.
The sample was amorphized down to a depth of 370 nm (the whole SiGe
layer and a part of underlying Si) by implantation of 270 keV, 3x1015 Ge+/cm2 at
78
3.2 Sample preparation
liquid nitrogen temperature. The amorphous layer thickness was measured by
TEM (not shown).
Some regions of the sample were subsequently implanted with 36 keV,
14
7.5x10 B+/cm2 or with 290 keV, 2x1015 Sb+/cm2 or with 100 keV, 7.5x1014 P+/cm2.
The different implant energies were chosen to have the same projected range at
about 120 nm, i.e. 15 nm from the SiGe/Si interface on the SiGe side, as shown in
the SRIM simulation [Zie85] of Fig. 3.4.
Figure 3.4 – The SRIM [Zie85] concentration profile of P (implanted at 100 keV with
dose of 7.5x1015 cm-2), Sb (290 keV, 2x1015 cm-2) and B (36 keV, 7.5x1014 cm-2) in the
SiGe/Si sample pre-amorphized to a depth of 360 nm by Ge+ implantation at nominal
peak concentration of about 0.35 at.%. The vertical dashed line marks the SiGe/Si
interface position.
From the relation 1.24, the lattice parameter of a relaxed Si0.83Ge0.17 layer is
5.465 Å, then the misfit with Si is about 0.6 %. Therefore, for the in-plane
compressive stress of the strained Si0.83Ge0.17 layer we have σxx = σyy = - 1.12 GPa.
The epitaxial stress is able to generate corrugation of the c-α interface [Bar01,Ell93].
In the next two sections we present our investigations on defects introduction and
c-α interface evolution during SPER for both intrinsic and doped Si0.83Ge0.17/Si
samples. The literature data of Paine et al. [Pai91] predicts only a few tens
nanometer of defect-free SiGe thickness after SPER (see Fig. 3.1). The SPER rate at
550 °C of relaxed Si0.83Ge0.17 is about 0.2 nm/s [Hay95]. While from the expression
3.1, the SPER rate at 550°C must be about vrealxed-SiGe/2 = 0.1 nm/s.
In order to induce SPER, amorphized samples were annealed in a vacuum
chamber (pressure of 10-7 mbar) in the 500 ÷ 600 °C temperature range. The
stabilization of the sample temperature occurs during the re-growth of a few
nanometers of the Si buffer underlying the SiGe layers, afterwards the temperature
is kept constant within ± 0.5 °C. During SPER, TRR measurements were acquired
79
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
in order to obtain the SPER rate versus the c-α interface depth with an apposite
code written for this specific structure. In fact, the main difference from the
reflectivity calculation procedure for pure Si described in the section 2.3 is the
presence of the SiGe/Si interface. During the c-α interface movement, we have the
following situation:
1) c-α interface between 370 and 135 nm depth:
α-Si0.83Ge0.17
α-Si
c-Si
2) c-α interface at 135 nm depth:
α-Si0.83Ge0.17
c-Si
3) c-α interface between 135 nm depth and the surface:
α-Si0.83Ge0.17 c-Si0.83Ge0.17
c-Si
Therefore, we must consider in the theoretical calculation of reflectivity the
reflected and transmitted portion of the laser beam from all the interfaces
described above, using the refraction index (n + ik) of amorphous and crystalline Si
and Si1-xGex at 633 nm [Kro92] for x = 0.17:
nα-Si1-xGex = 1.0395·10-4 x2 + 3.3733·10-3 x +4.6297;
kα-Si1-xGex = -2.8571·10-6 x2 - 2.02857·10-4 x - 0.833;
nc-Si1-xGex = 1.0395·10-4 x2 +3.3733·10-3 x + 3.87972;
kc-Si1-xGex = -2.8571·10-6 x2 - 2.02857·10-4 x -0.0179;
nα-Si = 4.633; kα-Si = - 0.833; nc-Si = 3.866; kc-Si = 0.018.
The following relationships between the refractive index and temperature T are
valid for photon energy less than 3.9 eV:
n(λ,T) = n(λ,300 K) + 5x10-4 · (T - 300 °K)
k(λ,T)= k0(λ) exp(T/T0)
For c-Si at 633 nm: k0 = -1.058x10-2 and T0 = 447 °K.
80
3.3 Defect nucleation during solid phase epitaxy
3.3 Defect nucleation during solid phase epitaxy
of Si1-xGex thin films
3.3.1 Undoped Si1-xGex
In order to clarify the solid phase epitaxy mechanism of SiGe/Si film, Fig.
3.5 shows the cross-section transmission electron microscopy (X-TEM) image of the
undoped sample after complete re-crystallization at 550 °C superimposed to the
SPER rate versus depth as obtained by TRR measurement and analysis. The X-TEM
image clearly shows the Si0.83Ge0.17 layer extending from the surface down to about
135 nm with 3 main different regions, starting from the Si/SiGe interface:
1) a defect-free layer of about 20 nm thick;
2) an extended band with high density of defects (dark contrast) in between
115 and 75 nm depth;
3) the final part of the SiGe film from about 75 nm depth up to the surface
showing some stacking faults and dislocations crossing this entire layer.
Figure 3.5 - SPER rate (continuous white line) versus the c-α interface depth superimposed to the XTEM image (taken under [400] two beam orientation) of the undoped sample after the re-growth at 550
°C. The vertical dashed line marks the Si/SiGe interface. Three main regions can be described starting
from the Si/SiGe interface: a defect-free layer of about 20 nm; a highly defective layer from 115 nm to
75 nm; a top region from 75 nm up to the surface showing some dislocations and stacking faults. The
SPER rate changes with depth and seems to be related to the defect density in the SiGe film.
The SPER rate profile of Fig. 3.5 induces to some considerations related to
the structure observed in the X-TEM image. Starting from the Si/SiGe interface, the
defect-free layer (135 ÷ 115 nm) has a SPER rate of ~ 0.13 - 0.14 nm/s, comparable
(perhaps slightly higher) to that of the Si buffer layer. In the following 40 nm the
81
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
SPER rate quickly decreases to a minimum of 0.05 nm/s at 80 nm location depth.
This effect can be attributed to the nucleation of a high density of defects, as
confirmed by the dark contrast in the X-TEM image. Fig. 3.6 reports the X-TEM
image under [200] two beam condition of sample annealed at 550 °C. The X-TEM
image furnishes dark contrast around deformations of the regular crystal lattice.
The last are attributed to planar defects.
Figure 3.6 – XTEM image acquired in a [220] two beam orientation of sample after
SPER at 550 °C. The horizontal line marks the SiGe/Si interface. Planar defects are
visible in SiGe layer above the first 20 nanometers of SiGe layer starting from the
Si/SiGe interface. The black arrow indicates a stacking fault.
After the minimum, the SPER rate shows a new enhancement up to 0.08
nm/s reached at 50 nm from the surface, during the regrowth of a less defective
SiGe layer (with dislocations). Finally, the remaining film exhibits a new decrease
in the SPER rate which can be followed up to 15 nm, where the TRR measurements
becomes affected by surface impurity contamination. However, this last point of
the SPER profile is also related to the c-α interface roughness generated during the
SiGe re-crystallization and will be discussed and clarified in the next section 3.4.
The average SPER rate into the entire SiGe layer is 0.80 ± 0.04 nm/s. It is
about 40% of the relaxed Si0.83Ge0.17 SPER rate extracted from works of Haynes et al.
[Hay95] (see Fig. 1.31a) and Kringhøj et al. [Kri94]. The expression 3.1 predicts a
SPER reduction of about the 50% for the epitaxial Si0.83Ge0.17 alloy grown on Si. The
average SPER rate is quite similar to the theoretic expected value. Nevertheless, the
SPER rate of investigated ε-SiGe layers reported in the literature are affected by
nucleation of defects.
In Fig. 3.7a we report the temperature dependence of the SPER rates for
different re-growth experiments in the temperature range 510 ÷ 595 °C. The
behavior of the SPER rate versus the c-α interface depth does not change in the
investigated temperature range, confirming the observations already reported for
Fig. 3.5. Also the X-TEM of these samples (not shown) revealed the same structure
82
3.3 Defect nucleation during solid phase epitaxy
of the samples observed in Fig. 3.5, therefore, only about 20 nm of SiGe grows free
of defects.
(a)
(b)
Figure 3.7 – The SPER rate v of Si0.83Ge0.17/Si samples as a function of c-α interface depth after recrystallization in the temperature range of 510 ÷ 595 °C (a). Data are extracted from TRR analyses.
The different annealing temperatures are specified near to experimental data. The vertical solid line
marks the SiGe/Si interface depth. The three arrows indicate the position of c-α interface where the
Arrhenius plots are extracted and reported in the left graph (b). The four Arrhenius plots of figure (b)
are calculated at depth of 180 nm (in Si layer), 125 nm (A), 80 nm (B) and 50 nm (C). The activation
energy and pre-exponential factor of linear fit of figure b are reported in table 3.a.
We calculated the activation energy and the exponential pre-factor in the Si
buffer layer (at depth of 180 nm) and also in the three different SiGe regions
discussed above and highlighted in Fig. 3.7a by arrows:
A. At 125 nm depth, in the SiGe free defect region (red arrow);
B. At 80 nm, where the SPER rate assumes the lower value (blue arrow);
C. At 50 nm depth, after the highly defective SiGe layer (green arrow).
The Arrhenius plots of the four regions with the respective linear fit are reported in
Fig. 3.7b. The result of linear fit are reported in table 3.a:
Region
Si
A
B
C
Depth [nm]
180
125
80
50
EAtt [eV]
2.73 ± 0.03
2.69 ± 0.02
2.82 ± 0.03
2.69 ± 0.02
Log10(v0)
16.5 ± 0.2
16.4 ± 0.2
16.8 ± 0.2
16.3 ± 0.2
Table 3.a – Activation energies and pre-exponential factors of Fig. 3.7b.
The SPER activation energy in Si, regions A and C, are equals within the
experimental errors. Some differences arise at the depth where the SiGe is highly
defective and the SPER rate shows a strong reduction. The increase of the
activation energy could be related to the nucleation of the defect-band observed in
the X-TEM image. In particular, it may reflect the higher energetic barrier for SPER
83
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
when the crystal contains many extended defects which compete with sites for the
epitaxy.
The result that SPER rate and activation energy are functions of the SiGe
depth, agrees with the experimental observations of Lee et al. [Lee93]. They
investigated the Si0.88Ge0.12/Si SPER in the temperature range of 503 ÷ 603 °C
confirming an average SPER rate lower than Si. Moreover, they measured an
activation energy for SPER higher than Si, depending on the Si0.88Ge0.12 depth. The
defects introduced relieve the in-plane epitaxial strain, so relaxing the alloy.
This study leads to the following preliminary conclusions on the SPER
mechanism of undoped SiGe:
1) The average SPER rate of strained SiGe is effectively lower than pure
Si as expected from the activation strain theory of Aziz et al. [Azi91].
However, it is affected by nucleation of strain-relieving defects, as also
reported by Lee et al. [Lee93]. Therefore, for stress values higher than
σxx = σyy = - 0.4 GPa, defects generate in the SiGe layer and only a few
nanometer re-crystallize without defects. SPER rates of ε- Si0.83Ge0.17 is
strongly perturbed by defects nucleation.
2) SPER activation energy in highly defective Si0.83Ge0.17 region is higher
than Si.
3) Only about 20 nm of Si0.83Ge0.17 re-grown free of defects and, probably,
coherently with the underlying Si layer. In this strained layer, SPER
rate and activation energy of the process are the same than those of Si.
4) The Si0.83Ge0.17 SPER mechanism doesn’t change in the entire
investigated range of temperature (510 ÷ 595 °C).
The thickness (~ 20 nm) of the Si0.83Ge0.17 defect free layer quantitatively
agrees with to the Paine’s critical thickness [Pai91] for SPER (Fig. 3.1).
Nevertheless, the observation of Hong et al. [SHo92] that defects formation does
not depend on the thickness of the strained layer but on that of the strained SiGe
layer re-grown by solid phase epitaxy is contradictory. They supposed that the
formation of strain relieving defects can be due to the competition between regrowth and nucleation of dislocations. If a delay time exists for the nucleation of
defects in the SiGe alloy, defects will form when the thickness of the re-grown alloy
is v·t, where v and t are the SPER rate and the delay time for defect nucleation
respectively. Based on this hypothesis, the enhancement of the SPER rate without
changing the SPER temperature, should increase the thickness of such defect free
layer. To this end, taking advantage of the SPER rate enhancement due to the
presence of dopants in SiGe and Si, in the next paragraph the SPER of doped
Si0.83Ge0.17 sample is analyzed.
84
3.3 Defect nucleation during solid phase epitaxy
3.3.2 Doped Si1-xGex
Pre-amorphized samples were implanted with B (7.5x1014 cm-2) or Sb
(2x1015 cm-2) or P (7.5x1014 cm-2) with a projected range at the depth where the
undoped Si0.83Ge0.17 re-grows without defects. Indeed, the dopant concentrations
peaks (0.012 at.% for B and P, 0.04 at.% for Sb) have been chosen to induce different
SPER rate enhancements with respect to pure Si or SiGe alloy.
Figure 3.8 – The SPER rate of Sb-doped (upper graph), B-doped (central graph) and P-doped
(lower graph) samples versus c-α interface depth after SPER at 540 °C. The corresponding
dopant profile is reported on each graph (right scale). The SPER rate increases when the dopant
concentration is 2x1019 at./cm-3.
In Fig. 3.8 the SPER rate of Sb-doped (a), B-doped (b) and P-doped (c)
samples are reported as a function of c-α interface depth. The SPER rates are
85
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
obtained from TRR analyses performed at 540 °C. Dopant profiles calculated by
SRIM software [Zie85] are also showed in Fig. 3.8. Due to the presence of dopants,
the SPER rate shows a different behavior with respect to undoped samples (Fig. 3.5
and Fig. 3.7a). In fact, the SPER rate increases following the dopants concentration
profile in the Si layer, as expected (see section 1.4). At temperature of 540 °C, Si
grows by solid phase epitaxy with velocity of 0.075 nm/s, as observed in all the
annealed samples at depth higher than 270 nm from the sample surface (we
remember that the entire amorphous layer is 370 nm depth). The c-α interface
velocity at peak concentration (at depth of about 125 nm that is located into the
SiGe layer) assumes values 4.9, 3.7 and 2 times the initial Si SPER rate.
We note that the incorporation of dopant doesn’t change significantly the
biaxial stress of the undoped alloy (ε|| =-6.3x10-3), because at the dopant peak
concentration the theoretical strain is -7.1x10-3, -5.9x10-3 and -6.2x10-3 for Sb-, B- and
P-doped Si0.83Ge0.17 samples, respectively. Afterwards, these strain values
correspond to a change of Ge concentration of the Si0.83Ge0.17 alloy lower than 2 %.
However, these value are higher than ε|| = -2.2 x10-3 corresponding to the strain of a
Si0.94Ge0.06 alloy where the introduction of defect is always observed [Ell93,Sag06].
We state that the only strong difference from re-crystallization mechanism of
undoped SiGe alloy is the increase of the SPER rate at the same temperature of the
process.
Anyhow, on the SiGe side the presence of dopant does not avoid the
decrease of the SPER rate, with a minimum always centered around the same
depth of undoped sample. This experimental evidence suggests that the defect
nucleation time plays no role in our system. In fact, the strong decrease of the c-α
interface velocity is attributable to nucleation of defects. The same depth for the
minimum SPER rate for doped and undoped samples suggests the same defect free
SiGe layer thickness.
In fact, Fig. 3.9 shows the X-TEM images in [400] two beam configuration
of the undoped SiGe layer (a) after re-grown at 546 °C and Sb-doped (b) and Bdoped (c) after SPER at 540 °C.
Figure 3.9 – X-TEM images acquired in [400] two beam orientation of undoped (a), Sb-doped (b) and B-doped (c)
samples after re-growth at 546 ÷ 541 °C around the SiGe/Si interface. After the re-crystallization, all the samples
show a similar defect-free SiGe layer thickness of about 20 nm.
The X-TEM images reveal very similar features, with the presence of the
same Si0.83Ge0.17 defect free layer thickness (~ 20 nm wide), before the introduction
of the highly defective band centered at 90 nm and the surface Si0.83Ge0.17 layer
86
3.3 Defect nucleation during solid phase epitaxy
crossed by stacking faults and dislocations. Moreover, the SPER rate seems to
behave in a very similar way for undoped and doped samples, suggesting a similar
re-crystallization activation energy.
The activation energy in the Si buffer layer and those at two different
depths (125 nm and 80-85 nm) in B-doped SiGe films are calculated and compared
to that of an undoped sample. The Arrhenius plots are reported in Fig. 3.10 and the
following activation energies have been found: 2.69 ± 0.03 eV for undoped SiGe
and 2.74 ± 0.06 eV for B-doped SiGe at 125 nm. For the B-doped sample (open
triangles) at 80 nm we found an activation energy of 2.81 ± 0.07 eV according to the
value of 2.82 ± 0.03 eV obtained for undoped SiGe sample (closed triangles). This
last result indicates the same re-crystallization mechanisms for Si0.83Ge0.17 films,
independently on the presence of B, P or Sb.
Figure 3.10 - Arrhenius plot and relative linear fitting of SPER rates at different depths: 125 nm
(closed and open circles) and 80 nm (closed and open triangles). For comparison, data for the Si buffer
layer are also reported. The activation energies E are indicated in parentheses. The only significant
difference is observed at depth of 80 nm for both doped and undoped SiGe, in correspondence with the
highly defective layer, where the activation energy is higher with respect to Si, in agreement with Lee et
al. [Lee93] for SPE of amorphized strained SiGe film.
The following conclusions on SPER mechanism of doped and strained SiGe
films on the base of the results presented above:
1)
The defects nucleation during the regrowth of SiGe alloys with Ge
concentration up to 6% doesn’t depend on a nucleation time.
2)
The introduction of dopants doesn’t change significantly the SPER
mechanism of SiGe films but affects only the SPER rate value .
3)
The activation energy of SPER processes is the same for undoped and
B-doped (perhaps not only for B) samples.
87
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
3.4 Crystal-amorphous interface morphology
The theory of critical thickness proposed by Paine et al. [Pai91]
qualitatively agrees to the layer thickness of the ε-Si1-xGex that can grows without
defects during SPER. Otherwise, the suggestion of Hong et al. [Hon92] that the
defect free layer depends on the ε-Si1-xGex thickness re-grown during SPER,
introduces further possible explanations for defects introduction. The studies
presented in the previous section exclude the existence of a nucleation time for
defects introduction during the ε-Si1-xGex SPER.
Many works reported the influence of strain on the c-α interface
corrugation. Corni et al. [Cor96] show that partially crystallized SiGe samples
reveal the tendency to roughen the c-α interface with an evolution reflected in the
crystallization activation energy, as observed in studies faced in the previous
section. The defect introduction is dependent on the stress induced by SiGe
epitaxial growth on Si. In fact, C implantation at concentration able to compensate
the SiGe compressive stress reduces the defect-free layer thickness
[Ant96,Im93a,Im93b].
To verify this behavior, the intrinsic Si0.83Ge0.17/Si sample was implanted
with 5x1015 C/cm2 at 45 keV. The C peak is about 3.75x1020 cm-3 at a depth of 135
nm, where the Si0.83Ge0.17/Si interface is located.
Figure 3.11 – X-TEM image in [400] two beam orientation of SiGe/Si sample implanted
with C after annealing at 540 °C. The SPER rate is reduced of about 5 times with respect
the undoped alloy (not showed). The critical layer thickness is enhanced cause tensile stress
contribute of C.
The calculated parallel strain at the C-implanted Si0.83Ge0.17/Si interface is
eII - 4.5x10-3, considering the Vegard’s rule for ternary alloys to calculate the SiGe:C
88
3.4 Crystal-amorphous interface morphology
film lattice parameter. This strain value corresponds to 0.12 at.%, of Ge in the SiGe
alloy, far below the concentration value of 6 at.%, where the presence of defects is
always observed after re-crystallization. Fig. 3.11 shows the XTEM image of Cimplanted sample after SPER at 545 °C. The defect free SiGe layer thickness
increases from 20 nm in the intrinsic sample to about 65 nm in the C-implanted
sample. At the surface, stacking faults and some precipitates attributable to
aggregation of C atoms are present. The increasing of SiGe defect free layer
thickness by applying tensile epitaxial stress due to the C presence confirms the
contribution of stress on defects formation. The stacking faults nucleation is still
present near to the sample surface, causing reduction of C content in the upper
part of SiGe layer due to the gradient implantation profile.
A possible explanation for defects introduction during Si SPER under
stress is attributable to the c-α interface evolution.
Barvosa-Carter et al. [Bar01] studied the time evolution of Si c-α interface
during application of compressive uniaxial stress. The Si SPER rate monotonically
decreases during time under application of uniaxial compressive stress in the
range of -0.5 ÷ -1.0 GPa. They explained this effect as an increase of the c-α
interface corrugation. In their work, the initial c-α interface presents corrugation
with peak to valley amplitude of 2 nm. Compressive stress reduces interface
mobility, then stress relaxation at the peak and stress concentration at the valley
causes peak growing faster and roughening to amplify during growth under
compression [Bar98]. Besides, Barvosa-Carter et al. [Bar04] showed successively
that the high wavelength of roughness in absence of an applied stress can arise
solely from dopant gradient. With the addition of a compressive stress, the c-α
interface roughness proceeds with an enhanced magnitude and a dramatic
reduced wavelength. They found a relationship where the local interface velocity v
is determined by
⎛ σ ij ΔVij − EAtt ⎞
⎛ ΔG ac (σ , κ ) ⎞
⎟⎟senh⎜⎜
⎟⎟
(3.3)
v(θ , c , σ , κ ) = v0 (θ ) f (c ) exp⎜⎜
k BT
⎝ 2 k BT ⎠
⎝
⎠
where θ is the misorientation angle between the growing plane and the (111) plane;
f(c) is a function depending on the dopant concentration c; σ is the stress, κ is the cα interface curvature, ΔVij and Eatt are the activation volume and energy,
respectively. The hyperbolic sine term is the free energy driving force for growth
and ΔGac is the difference in free energy between amorphous and crystalline silicon
[Pha01].
Sage et al. [Sag06] confirmed that in Ge-implanted Si films with Ge
concentration peak of 10 or 15 at. %, tensile uniaxial stress to compensate the
excess of stress due to the difference between the peaks concentration of Ge and
those of the 6 at.%, the c-α interface roughness is not observed. With an external
89
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
application of biaxial stress in the plane of c-α interface during SPER, the c-α
interface evolution is stabilized against roughening, thereby reducing c-α interface
undulations. Moreover, Rudawski et al. [Rud07] showed that uniaxial tensile stress
(up to σ[110] = 1.5 GPa) on (001) Si causes no raise of the initial c-α interface
roughness.
The data here presented show how the c-α interface evolution contributes
to defects formation. If the c-α interface corrugates during first stage of ε-Si1-xGex
SPER, then defects can formed after the re-growth of a certain amount of SiGe
thickness. In this section the c-α interface evolution of intrinsic and doped eSiGe/Si samples is studied in relation to defects introduction.
3.4.1 Undoped case
In order to understand the origin of the c-α interface morphology and the
formation of the defect band, we performed partial regrowths by annealing
undoped samples at 541 °C for times shorter than 90 min to obtain samples with
different residual amorphous thicknesses on top of the regrown crystal.
The acquisition of the TRR signals during these thermal treatments,
combined with the calculated reflectivity, allowed us to stop the regrowth when
the expected c-α interface depth was about 135, 100, 85, 65, and 40 nm,
corresponding, respectively, to 0, 320, 775, 1425, and 2250 s annealing times upon
the entry of the c-α interface into the SiGe layer. In Fig. 3.12 X-TEM images relative
to these partially re-grown samples are reported. First of all, it is worth noting that
the average depths of the c-α interfaces measured on the X-TEM images
correspond to the values expected by the TRR signals. Moreover, X-TEM clearly
reveals the roughening transition occurring when the c-α interface enters into the
ε-Si0.83Ge0.17 alloy and the dramatic worsening with the thickness of the re-grown
SiGe layer. In fact, the c-α interface remains quite flat during its motion within the
Si layer (not shown). In fact, the observed c-α interface amplitude is always lower
than TEM images resolution of 0.4 nm. In Fig. 3.12a (at the beginning of the SiGe
layer) the amplitude of the interface is well below 1 nm. After about 30 nm of
Si0.83Ge0.17 re-growing (Fig. 3.12b), the c-α interface exhibits a clear roughening
which increases as the SPER process proceeds. We can easily note that the peak to
valley distance of the c-α interface is around 20–30 nm when the interface is at the
depth of the heavily defective band (i.e., 85 nm, Fig. 3.12c) and it rises up to 80 nm
in the last image (Fig. 3.12e). This roughening can be ascribed to different SPER
rates along the c-α interface, i.e., some points of the interface show a higher rate
(fast front) with respect to other points (slow front).
90
3.4 Crystal-amorphous interface morphology
Figure 3.12 – XTEM images in [220] two beam condition of partially re-grown SiGe/Si
samples during annealing at 541 °C. The annealing time is set to zero at time where the c-α
interface reaches the SiGe/Si interface (horizontal white line). The amorphous layer doesn’t
contribute to electrons diffraction producing the lighted homogeneous contrast near the
sample surface.
91
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
Thus, the fast front of the c-α interface in the X-TEM of Fig. 3.12 is formed
by those regions of the interface nearest to the surface, while the deep valleys in
the c-α interface represent the slow front. In Fig. 3.13 we plot the distance from the
surface of the fast and slow fronts versus the annealing time calculated as the
average value taken from five X-TEM images for each depth of the samples shown
in Fig. 3.12. Starting from the depth at which the defect formation begins (i.e., at
105 nm) the two fronts split and the distance between them increases with time.
Indeed, it is worth noting that the fast and slow fronts exhibit SPER rates which
can be linearly fitted with 0.049 ± 0.003 and 0.022 ± 0.005 nm/s, respectively. All
results shown here indicate that the strain accumulated in the first 20–30 nm of
SiGe coherently regrown with the Si substrate induces the nucleation of extended
defects and the formation of the observed highly defective band centred at 80 nm.
Figure 3.13 - Location depth of the fast (circle) and slow (square) fronts of the c-α
interface vs. the annealing time at 541 °C. After 275 s, when the highly defective SiGe
region forms, the data indicate an almost constant rate of about 0.05 nm/s for the fast
front, and a less regular behaviour for the slow front. The increasing distance between the
two set of points is an indication of the c-α interface wideness.
The formation of such defects induces a lowering of the SPER rate as seen
in Fig. 3.5 connected with a slight increase of the activation energy (see Fig. 3.7b).
The defect formation induces a local delay of the epitaxial regrowth, causing the
observed interface roughening. The c-α interface SPER rate slows down in the
zones where defects nucleate (slow front), while the remaining portions maintain
the same rate (fast front). The divergence of the two curves with time is a
measurement of the increasing roughening. the reduction of the SPER rate in the
last 50 nm of the SiGe film reported in Fig. 3.5 is now explained. In fact, at 50 nm
92
3.4 Crystal-amorphous interface morphology
mean depth of the c-α interface, the fast Si0.83Ge0.17 front reaches the surface (see
Fig. 3.12) and the SPER rate, from that time, will be more and more determined by
the slow front (see Fig. 3.13). However, after the total re-crystallization, the sample
surface exhibits a root mean square of surface roughness of only 0.5 ± 0.1 nm, as
measured by atomic force microscopy reported in Fig. 3.14. This value is
comparable to the as grown sample after MBE deposition (0.2 nm). Raman
Spectroscopy (Fig. 3.15) shows a relaxation of the strained SiGe alloy of about the
70 %. Other details of these two techniques are reported in the figure captions.
Figure 3.14 – Atomic Force microscopy (AFM) of undoped sample after complete re-crystallization.
The surface exhibits small dot while the AFM image of MBE sample (not shown) presents an
homogeneous flat surface. The root mean square of surface roughness are comparable for the two cases,
however.
350
Totally
strained
300
a.u.
250
Relaxed
200
150
100
50
0
480
490
500
510
520
530
540
550
560
-1
Raman shift [cm ]
Figure 3.15 – Raman shift of undoped sample after SPER at 541C acquired with a laser beam of 514.5
nm at room temperature. The peak at 520.5 cm-1 is due to the absorption of vibration energy relative to
the Si-Si bond. In the Si1-xGex alloy, the Si-Si vibration energy shifts with the Ge concentration x and
the perpendicular strain ε⊥: ωSi-Si = 520.5 – 62x – 815·ε cm-1 [Tsa94]. The residual strain value is
calculated knowing the concentration of the SiGe alloy (17 at.%).
93
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
The experimental observations hitherto reported lead to the conclusion
that at the SiGe/Si surface, the c-α interface breaks the planarity preserved during
the SPER of Si. After 20 nm of SiGe re-growth layer, V-shaped surface generates
with the c-α interface along different [hkl] directions. The re-growth proceeds with
a fast front at constant rate, while planar defects locally stop the re-growth
producing an increase of the activation energy of the process. Stacking faults after
the complete crystallization are present starting to relax the strained SiGe alloy.
3.4.2 Doped case
In Fig. 3.16 the TRR signal of ε-Si1-xGex/Si sample annealed at 541 °C is
reported. It is known that the amplitude of the TRR oscillations increases
exponentially as the c-α interface moves toward the surface due to reduced light
absorption [Lic86,Ell96]. Still, the interface morphology affects the TRR signal by
decreasing it if the roughness is comparable to the interference distance in the
reflectivity signal [Bar04].
SiGe
TRR trace
541 °C
Reflectivity (a.u)
Si
0
600 1200 1800 2400 3000 3600 4200 4800
Time [s]
Figure 3.16 – Time resolved reflectivity trace of undoped sample during
annealing at 541 °C.
Thus, the amplitude of the last reflectivity peak is the same of the previous
indicating the increase of c-α interface roughness observed and studied in Fig. 3.12
and Fig. 3.13. In order to investigate the effect of doping on the c-α interface
evolution during ε-Si0.83Ge0.17 we made TRR measurements of samples doped with
B or Sb or P annealed at 541°C. The corresponding results are shown in Fig. 3.17.
The vertical dashed line indicates the position of the Si0.83Ge0.17/Si interface. In
94
3.4 Crystal-amorphous interface morphology
these plots the increase of the SPER rate in the doped Si0.83Ge0.17 layers is
demonstrated by the time shrinkage of the TRR oscillations. Another point that
must also be stressed is the amplitude of the TRR signal.
Si SiGe
Reflectivity (a.u.)
P doped
B doped
Sb doped
1000
2000
3000
4000
5000
Time [s]
Figure 3.17 - Time resolved reflectivity signals vs. annealing time for P-doped (dots), B-doped
(dashed line) and Sb-doped (continuous line) samples. The annealing temperature is 541 °C for
all samples. The time scale is equal at SiGe/Si interface position. A considerable increase of the
relative intensity of the last reflectivity peak for both doped samples is evident with respect to the
undoped case. This effect is know to be related to a reduction of the c-α interface roughness.
In particular the increasing intensity of the reflectivity peak with time is an
evidence of a smoother c-α interface for the doped sample with respect to the
undoped one. In order to verify this statement, we made X-TEM analysis of three
partially regrowth samples, undoped (Fig. 3.18a) and B (Fig. 3.18b) and Sb (Fig.
3.18c) doped at an average depth of 40 nm from the sample surface, as expected
from TRR trace. The images show a clear reduction in the peak to valley distance of
the c-α interface occurring in the Sb-doped and B-doped samples with respect to
the undoped one. This reduction is observed in several X-TEM images for each
doped samples.
Figure 3.18 – XTEM images in [220] two beam orientation of partially re-growth intrinsic (a), B-doped (b) and
Sb-doped (c) samples. The white horizontal line indicates the SiGe/Si position.
95
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
A systematic study by X-TEM (not shown) of partially re-growth B-doped
samples annealed at 541°C has been performed in order to measure the average
position of the interface with time by sampling several regions of each sample.
Although the original c-α interface at Si/SiGe interface was quite smooth (about 1
nm wide), the introduction of defects induces the formation of different c-α fronts
observed also for the undoped sample, at different depths and with different regrowth rates. This causes a rapid increase of the interface roughness and allows us
to characterize a faster and a slower front in the c-α interface coinciding,
respectively, with the highest peak and deepest valley in the X-TEM images. It has
been then possible to plot the position of the faster and slower fronts vs. time for Bdoped sample. The results are reported in Fig. 3.19.
140
un-implanted fast front
un-implanted slow front
B -implanted fast front
B -implanted slow front
120
Depth [nm]
100
80
60
40
20
0
0
500
1000
1500
2000
2500
Time [s]
Figure 3.19 – Depths of the faster and slower fronts of the c-α interface in SiGe for
partially re-grown un-implanted (open triangles) and B-implanted (closed triangles)
samples at different annealing times at 541 °C. Lines are only guides for eyes. Depths
and error bars have been evaluated by X-TEM analysis performed on several views of
each sample.
This plot summarizes many of the features already discussed. First of all, it
is evident that B-implanted sample has always a higher SPER rate with respect to
the un-implanted one. Extrapolating the B-implanted data, the slower front reaches
the surface before than the faster front of the un-implanted film. Moreover, once
the interface sharpness is broken after about 300 s, the two fronts in the Bimplanted sample show approximately the same velocity (same slope in between
two consecutive points in Fig. 3.19) even if there is a continuous reduction of SPER
rate approaching the surface due to the decrease of the B-implanted concentration.
This means that the average roughness does not increase continuously with time.
96
3.4 Crystal-amorphous interface morphology
On the contrary, we remind that the un-implanted sample shows the two fronts,
once formed, moving always with different velocities as discussed previously, thus
increasing the roughness of the c-α interface during SPER.
The implantation of dopants in SiGe doesn’t change the thickness of the
strained layer that re-grows free of defects. In fact, the contribute to the strain due
to incorporation of dopants at concentration under their solid solubility limit is
negligible with respect to that of Ge concentration of about 6 at.%. Moreover, the
ion implantation generates graded profile of the element concentration, producing
a gradual change in the strain of the doped alloy versus the sample depth.
Nevertheless, the doping process modifies the c-α interface roughness evolution
after the formation of defects in the Si0.83Ge0.17 alloy, as testified qualitatively by
analyses of TRR traces and quantitatively by X-TEM images. Besides, the
amplitude of the TRR trace is not only by reduced increasing the c-α interface
roughness [Ell96,Lic86] but also enhancing the SPER rate in presence of an
opportune concentration of dopant [Bar04]. The increasing of the SPER rate causes
the enhancing of the initial bend of the c-α interface. We note that in the treated
samples, the initial c-α interface undulation is below 1 nm, lower than the value
reported in the works of Barvosa-Carter et al. or Rudawski et al. [Bar97, Rud07].
On the contrary, TRR signals of Fig. 3.17 show a different behaviour. In
fact, the amplitude of the last peak is 25 % higher than the second-last in the
undoped sample (Fig. 3.14). The amplitude of the last peak of P-, B- and Sb-doped
samples are about 75%, 95% and 125% higher than the second-last (same
amplitude value for all samples), respectively, in spite of the increase of SPER rate.
Besides, the TRR peak is more intense for higher SPER rate enhancement. The
penultimate TRR peak is in correspondence of the SiGe region between 130 – 95
nm, where dopant profiles assume the peak concentration. However, for all doped
and undoped samples, the TRR amplitude of this peak is the same in spite of the
enhanced SPER rate of doped samples.
We suppose that the diminished peak to valley distance of the c-α interface
for doped samples arises from the dopant gradient profile. In fact, after the
formation of strain-relieving defects, the faster front of c-α interface reaches a
region with less concentration of dopant while the lower front is locally delayed by
defects formation but it proceeds at depths with a higher concentration of dopant
that enhances the SPER mechanism. By dint of this explanation, the observed
gradual increase of the intensity of the last TRR peak enhancing the c-α interface
velocity.
In order to verify this statement, the intrinsic Si0.83Ge0.17/Si sample was coimplanted with B and P with the same dose of 7.5x1014 cm-2 at 36 and 100 keV. The
two dopant profile are nearly coincident (see Fig. 3.4). The SPER rate of n- and ptype co-doped Si samples is the same of undoped Si samples [Sun82]. SPER rate
97
HIGH STRAIN EFFECTS ON Si SOLID PHASE EPITAXY
data of n- and p- type doping in SiGe to compensate the concentration of opposite
carriers not are present in literature. The P-B co-doped sample shows a similar
SPER rate of the undoped film (Fig. 3.20), in agreement with Suni et al. for P-B codoped Si samples [Sun82,Rom06].
Reflectivity (a.u.)
undoped
co-doped
0
1000
2000
3000
4000
5000
6000
Time [s]
Figure 3.20 – TRR of undoped (up) and B-P co-doped (down) samples at 541°C.
The re-crystallization time is set identical (2000 s) when the c-α interface reaches
the SiGe/Si interface (highlighted by the vertical line). The re-crystallization time of
SiGe layer is quite similar for the two samples even if some differences are visible
due to the imperfect compensation of B and P profiles.
Moreover, the intensity of the last TRR peak is the same for undoped and
B-P co-doped samples thus indicating a similar c-α interface roughness evolution
in spite of the presence of different implanted elements in SiGe.
98
3.5 Concluding remarks
3.5 Concluding remarks
In this chapter we reported experimental studies concerning SPER of
Si0.83Ge0.17/Si heterostructures grown by MBE and amorphized by ion
implantation.
The final structure of the re-grown samples is very similar, in all cases,
showing a ~ 20 nm defect free layer followed by dislocations and stacking faults up
to the surface. This study shows that the defects formation occurs at the same
depth, independently from SPER kinetics, suggesting that the defects nucleation
doesn’t depend on a nucleation time. Moreover, we have been able to extract the
activation energies as a function of depth by different annealing temperatures and
in situ TRR measurements, finding that only the highly defective layer shows a
value higher than pure Si and an important lowering of the SPER rate (up to a
factor of 3). The introduction of dopants such as B, P and Sb enhances the SPER
rate but has no detectable effects on the final quality and structure of the re-grown
Si0.83Ge0.17 and on the activation energy for the SiGe SPER process. The only
evidence of an improvement due to the presence of such impurities is a slightly
smoother c-α interface, as suggested by TRR trace and demonstrated by X-TEM of
partially re-grown films. Nevertheless, B-P co-implantation produces a behaviour
similar to undoped Si0.83Ge0.17.
On the base of the results presented above, the following conclusions on
SPER mechanism of doped and strained SiGe films can be drawn:
1)
The c-α interface experiences the strain due to the Si0.83Ge0.17/Si lattice
mismatch. After a few nanometers of SiGe epitaxial re-growth, planar
defects form, lowing the re-crystallization rate in some region of the c-a
interface. As a consequence, the c-a interface increases the roughness
during its movement;
2)
The introduction of a single dopant (B, P or Sb) affects the SPER rate
value and slightly improves the c-a interface roughness;
3)
The implantation of C to compensate the compressive strain of SiGe
layer, enhances the defect free layer thickness;
4)
The defects nucleation during the re-growth of SiGe alloys doesn’t
depend on a nucleation time.
99
Chapter 4
Nanocavities
localization in Si by
strain engineering
In the previous chapter we studied in detail the formation of defects
relaxing the strain of a SiGe alloy during the SPER, making these layers unusable
for microelectronics. Nevertheless, relaxed SiGe films have been recently utilized as
substrate for the epitaxial growth of tensile Si or compressive Ge layers which show
an enhancement of carrier mobilities.
Generally, a strain-relaxed SiGe film grown on a Si buffer, commonly
called “virtual substrate”, can contains a high density of defect compromising the
electrical conduction properties. For these reasons, lots of works recently looked at
the individuation of a process for the relaxation of strained SiGe films with lower
density of threading dislocations and low surface roughness.
The He+ implantation and annealing of SiGe/Si films is one of the most
useful method to this purpose, but it presents some limits depending on the
location of He-induced cavities in Si. In this chapter we present an original method
to control the localization of nanocavities in thin buried strained layers
demonstrating another benefit in the understanding of the interaction between
points defects and impurity-induced strain in Si.
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
4.1
Strain engineering of Si, Ge and Si1-xGex
layers
The past several years have witnessed rapid growth in the study of tensile
Si or compressive Ge layers due to their potential ability to improve the
performance of very large scale integrated (VLSI) circuits independent of
geometric scaling [Lee05]. Such band-engineered heterostructures can be
optimized to allow mobility enhancement factors over bulk Si of 2 for electrons (in
tensile ε-Si layer) and as high as 10 for holes (in compressive strained Ge layer).
The realization of tensile ε-Si by epitaxy growth requires a substrate with
lattice parameter higher than Si. Relaxed Si1−xGex alloys is an adequate materials to
this purpose for the reason of structural compatibility with Si. Given the difficulty
in creating relaxed Si1−xGex single-crystal substrates, the most feasible method for
accessing the wide range of Si1−xGex lattice constants is through its epitaxial growth
on Si wafers so that the bulk Si substrate is transformed into a Si1−xGex virtual
substrate. A relaxed Si1−xGex graded buffer creates a larger lattice constant on a Si
substrate and can be used as an epitaxial template for depositing Si-rich layers in a
state of biaxial tension or Ge-rich layers in a state of biaxial compression.
Nevertheless, a considerable quantity of defects is produced relaxing
strained Si1−xGex layers. Particularly, the formation of dislocations and the surface
roughness strongly affects device performances, so that various mechanisms of
Si1−xGex relaxation were proposed in order to obtain a low density of defects. The
relaxation of strain Si1−xGex buffer layers grown on Si substrates is briefly discussed
in the following subsection.
4.1.1 Relaxation of strained Si1-xGex layers
A single Si1-xGex layer deposited by heteroepitaxy on Si accumulates strain
energy. There is a film thickness over which the introduction of misfit dislocations
into the film becomes energetically favourable. This value is called ‘‘critical
thickness’’. Early theoretical works elucidating the critical thickness in the latticemismatched hetero-epitaxial growth were done by Frank and van der Merwe
[Fra49,vdM63]. They gave a fundamental aspect for predicting the critical
thickness on the basis of the minimization of the sum of the elastic energy and the
dislocation energy in strained epitaxial systems. The model proposed by van der
Merwe was modified by Kasper et al. [Kas77,Kas86] to be applied more practically
to the diamond lattice in low lattice mismatch systems (with a misfit parameter
lower than 1.2%) such as Si1-xGex/Si for x lower than 0.3, while the relaxation
102
4.1 Relaxation of a strained Si1-xGex layer
mechanism by surface roughness is favoured in high lattice mismatch system (with
a misfit parameter higher than 1.2%) such as Si1-xGex/Si for x higher than 0.3.
A simple model was successively developed by Matthews and Blakeslee
[Mat74] and has often been used for interpreting various types of lattice
mismatched epitaxial systems. They considered the balance of forces exerted on a
propagated dislocation with misfit and threading segments in the strained film.
To explain considerably larger critical thicknesses observed experimentally
for SiGe films grown by MBE at 550 °C, People and Bean [Peo86] firstly attempted
to derive an expression of the critical thickness by taking the extra energy required
for the generation of misfit dislocations into account. They obtained the critical
thickness by setting the area density of strain energy associated with a film to be
equal to the energy density of dislocations.
Figure 4.1 – Critical thickness of a Si1-xGex layer grown on Si (100) versus the concentration x of
Ge in the alloy. On the base of the theory of Matthews and Blakeslee and People and Bean, three
regions can be identified as a function of the thickness of the Si1-xGex alloy: stable, metastable
(defect appears after annealing at temperature higher than the growth temperature), relaxed with
dislocation.
The relaxation mechanism due to the introduction of misfit dislocations
involves several factors as the dislocations motion, the residual strain in partially
relaxed heterostructures, and the interaction between dislocations [Tsa87, Dod88].
The critical thickness calculation of People and Bean of Fig. 4.1 is referred to the
growth of Si1-xGex alloys at 550°C by MBE. If the thickness h of a Si1-xGex film is
lower the critical thickness calculated by Matthews and Blakeslee, the film grows
strained. If the thickness h, instead, falls between Matthews and Blakeslee and
People and Bean curves, the film will grow strained, but it can relax its strain
energy introducing misfit dislocations during thermal treatments at temperature
higher than the growth temperature. A Si1-xGex film with thickness greater to the
103
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
People and Bean critical thickness will introduce misfit dislocations during the
epitaxial growth.
The gliding of a misfit dislocation along the Si1-xGex/Si interface can
generates two arms of threading dislocations that can reach the surface of the
Si1-xGex film, degrading the crystalline quality. The relaxation of a single Si1-xGex
epitaxial layer produces a density of threading dislocations of 109 – 1010 cm-2
meaning 10-100 dislocations every one μm2. Their use as a substrate for the
subsequent growth of tensile ε-Si for the realization of devices of lateral size in the
order of the micrometer is compromised, being a TD a trap for charged carriers, so
alternative growth methods to realize relaxed Si1-xGex were proposed.
A compositionally graded Si1-xGex buffer layer is most successfully
applicable to devices realized on virtual substrates [Mii91,Ism95]. In the formation
procedure, the Ge concentration x, in the Si1-xGex alloy gradually increases with
increasing film thickness. Since this structure is considered to be the sum of lowmismatched interfaces, misfit dislocations are successively introduced during
growth, resulting in total strain relaxation of the film.
Graded Si1-xGex layer permitted the realization of pure Ge layer starting
from a Si substrate with low of TD density, typically on the order of 105–107 cm-2.
However, graded buffers with low TD density require slow grading rate whereas
this results in large thickness of the films. The thickness of graded layer must
reaches even tens micrometers. A thick region with misfit and threading
dislocation produces severe surface roughness. The root mean square of surface
roughness can arrive to value of several tens of nm. Afterward, the realization of
devices with thickness a few nanometers of planar technology is compromised.
A chemical mechanical polishing (CMP) method is required to reduce the
surface roughness after the growth of a graded layer. CMP of Si1-xGex buffer layers
with large roughness is one of promising techniques [Saw03]. This CMP consists of
mechanical polishing due to small particles and chemical etching and is well
established for preparation of Si wafers. The surface flatness of SiGe buffers after
proper CMP polishing is almost equal to that of Si wafers. Furthermore, poor
thermal conductivity relevant to included Ge severely affects the performance of
devices fabricated on the graded buffers.
Thus, several techniques other than the growth of the grade buffers have
also been attempted for strain-relaxed Si1-xGex buffers on Si(001) substrates, such as
low temperature molecular beam epitaxy [Kas98], porous Si [Che96,Kas98] and H+
or He+ implantation [Hol01]. The idea is to create a layer with high density of
defects underneath the Si1-xGex/Si interface highly reactive with misfit dislocations
during relaxation of the Si1-xGex film, maintaining a low TD density in the relaxed
Si1-xGex film with the deposition of a thickness of SiGe layer of a few hundreds of
nanometer, for the subsequent use of these relaxed layer as virtual substrates.
104
4.2 Ion implantation of He in Si
4.2
Ion implantation of He in Si
One of the main applications of He+ implantation in Si regards the
relaxation of strained Si1-xGex alloys grown on Si. In this section the principal
information on the nucleation and growth of cavities induced by He implantation
in Si are described. First of all, the state of art concerning the Si1-xGex relaxation
through cavity-dislocation interaction will be reviewed. Then, the limits in the
relaxation of strained Si1-xGex alloys by He+ implantation will be discussed and an
original solution regarding a cavities-strain relationship will be introduced and
explained.
4.2.1 Cavities nucleation and growth
He+ implantation in Si induces the formation of nanometric cavities and
bubbles (He-filled cavities) which modify structural [Mye93], electrical [Mye95]
and optical properties [Sie95] of Si. Like in metals, He atoms have a high
permeability value in Si and after He+ implantation, even at room temperature, a
consistent amount of the implanted atoms out-diffuses from the film surface for
implantation energies lower than 40 keV [Mor04] as shown in Fig. 4.2. Thermal
annealing induces the subsequent out-diffusion of the remaining He fraction even
for implantation energies up to the MeV range [Gri02]. However, some He atoms
can remains into the cavities inducing a pressure forming a He bubble [Mye99].
Figure 4.2 – Elastic recoil detection (ERD) result showing the retained He fraction as
a function of the implantation energy. The insert shows the ERD spectra for samples
implanted at 15, 20 and 40 keV with a fluence of 2x1016 cm-2 [Mor04].
The formation of cavities in Si depends not only on the He atoms presence,
but also on point defects in the Si lattice and their evolution [Rai00a]. Because of
105
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
repulsive interaction within He atoms and a single vacancy (with an activation
energy of EA = 1.2 eV), a He atom does not occupy a substitutional site in the
tetrahedral Si lattice, but rather an interstitial position [Ala92]. The bonding of He
with di-vacancy (V2) or cluster of vacancies is energetically favoured with respect
to the He in tetrahedric or interstitial position [Est97]. In particular, the He-V2
interaction during thermal annealing has been individuated responsible of cavities
nucleation and growth [Rai97].
He+ implantation produces Vs, Is and cluster of these defects in the Si
lattice. The Vs projected range (Rp) is shifted toward the film surface with respect
to that of He, while the Is profile is nearly coincident with the He distribution
[Rai00b], according to the “+1” model [Gil91]. Approximately 99 % of the produced
Vs recombine with Is during an implant performed at room temperature [Rai00a].
Thermal annealing after ion implantation induces Vs-Is recombination. At
temperatures in between 150-300 °C Vs recombine with Is (see Fig. 4.3a). Still, the
diffusing He atoms can interact with V2 to form stable complexes. These He-V2
clusters are active centres to capture other migrating vacancies, while Is can
recombine elsewhere, e.g. at the surface. The depletion of Is leads to the
supersaturation of Vs over temperature of 250 °C (See Fig. 4.3b) and thus to the
nucleation and growth of nano sized cavities [Rai00b].
Figure 4.3 – Vacancies and interstitials related to a single implanted He ion and
determined below (a) and above (b) the fluence threshold for bubble formation, as
a function of temperature [Rai00b].
A fluence of about 1x1016 cm-2 for implantation energy of a few tens of keV
is necessary to allow the cavities formation in Si [Rai00a]. However, under the
critical fluence value, isolated cavities can form.
106
4.2 Ion implantation of He in Si
Cavities form in between the Rp of He and Vs, with an asymmetric
distribution toward the surface and bigger cavities locate at the He Rp, as shown in
Fig. 4.4 for a sample implanted with 1.55 MeV 3He to a fluence of 5x1016 cm-3
[Gri02]. In the same Fig. 4.4 we can note that raising the post-implantation thermal
annealing temperature, the diameter of the cavities around the He Rp increases up
to about tens nm in diameter, while the density decreases. It was demonstrated
that the mechanism for cavities growth is the coalescence for annealing
temperature up to 1000 °C, whereas the Ostwald ripening predominates at higher
temperatures [Rai00a].
Figure 4.4 – Cross sectional TEM images showing the evolution of a population of cavities
after thermal annealing for 1 h at different temperatures. The samples were implanted with
1.55 MeV 3He to a fluence of 5x1016 cm-3. The He Rp is at the mid position of each images
[Gri02].
Cavities diameter depends on the final annealing temperature but not on
the implanted fluence or energy. Instead, an increment of the implanted fluence
acts on the cavities density [Luy02]. At fluence lower than the critical fluence for
cavities formation, isolated cavities can nucleate and growth. At fluence higher
than the critical fluences, cavities form in between the He and the V projected
ranges.
107
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
4.2.2 Relaxation of Si1-xGex by He implantation and
thermal annealing
An important technological issue is related to the formation of cavities and,
in particular, to the strain field surrounding the cavities. Some He atoms can
remains into the cavities inducing a pressure [Mye99]. Therefore, a distortion of the
surrounding Si lattice is generated. The elevated strain field around cavities can
produces dislocations [Til04] relaxing the Si lattice around a cavity. Strain is
identified as interference fringes surrounding the cavities [Til04] (see Fig. 4.5).
(a)
(b)
Figure 4.5 – Cross-sectional TEM images of a Si Cz substrate implanted with 8x1015 cm-2 of He+ at 20 keV and
annealed at 800 °C for 1 h in N2 ambient. Isolated cavities with diameter of about 20-30 nm nucleate (a) at depth
between the V and He projected ranges (150 and 200 nm depth, respectively), as expected for this implanted
fluence lower than the critical fluences of 1x1016 cm-2. The interference fringes around some cavities are caused to
the distortion in Si lattice (strain) meaning He-filled cavities. This image is acquired in out of Bragg condition.
The [220] two beam diffraction TEM image (b) shows dislocation loops around cavities.
The dislocations created from He bubbles relaxation interact with the other
lattice defects, such as misfit dislocations [Mye99] via the strain field. Therefore,
the formation of cavities in Si has been proposed as an efficient method to realize
compliant layers to control the relaxation of the elastic strain energy of Si1-xGex
epitaxial films on Si [Fol96]. Cavities induced by He+ implantation promote the
strain relaxation of Si1-xGex during annealing via the preferential nucleation of
dislocation loops which form misfit dislocations at the interface leaving this layer
with a lower density of threading dislocations (TD) with respect to the thermal
relaxation mechanism [Hol01], as shown in the scheme of Fig. 4.6.
Figure 4.6 - Process Steps for the fabrication of strained silicon on SiGe by He ion implantation
and annealing using strain transfer during the strain relaxation of the SiGe layer [Buc06].
108
4.2 Ion implantation of He in Si
Many studies on the optimal conditions of He+ implanted fluences and
energies were made to obtain a high degree of relaxation of thin Si1-xGex films and
low density of defects. In fact, low threading dislocations density (< 7x107 cm-2)
and smooth surface (root mean square of surface roughness of the order of few Å)
are mandatory requirements for good electronic properties of the top ε-Si layers.
Luysberg et al. [Luy02] reported a strain relaxation of about 70% for a 100 nm thick
Si0.7Ge0.3 film implanted at 18 keV with a He+ fluence higher than 1.2x1016 cm-2.
After thermal annealing at 850°C for ten minutes, the degree of relaxation seems to
be independent on the He+ fluence up to 3x1016 cm-2, while the TD density
increases up to values of about 1010 cm-2. Cai et al. [Cai04] demonstrated that the
TD density and the surface roughness of SiGe films strongly depend on the He+
fluence retained within the SiGe film during the implantation. An He+ retained
fluence higher than 1x1015 cm-2 in the SiGe layers produces an higher TD density at
the SiGe surface. Moreover, the He+ implanted fluence value for low TD density
depends also to the concentration x of Ge in the alloy.
Buca et al. [Buc04] demonstrated that Si1-xGex strain relaxation strongly
depends on the localization of the cavities generated by the He+ implantation and
thermal annealing. If the He+ Rp is near the Si1-xGex/Si interface cavities will
nucleate there, resulting in a high surface roughness and TD density of the Si1-xGex.
If the distance between He+ Rp and the Si1-xGex/Si interface is over two times the
Si1-xGex thickness, the TD density and, moreover, the degree of relaxation of SiGe
layer are un-affected by the presence of cavities.
The best result was obtained for He+ fluences not exceeding 2x1016 cm-2,
when cavities formed in a narrow band at a distance from the Si1-xGex/Si interface
equal to the Si1-xGex thickness. At this cavities location and higher fluences, the
density of cavities increases enhancing the number of defects in the Si1-xGex layer at
elevated values for subsequent application of these layer for the microelectronic
applications. On the contrary, at this location between Si/Si1-xGex interface and
cavities depth, a lower density of cavities doesn’t permit the formation of a certain
quantity of defect capable to relax the Si1-xGex layer. Hollander et al. [Hol01]
calculated some condition to obtain the relaxation of Si1-xGex layer considering the
formation of dislocation loops. They are δ ≤ 2.5d and δ ≤ h, where δ is the distance
between two cavities, d and h are the cavities diameter and the thickness of Si1-xGex
layers. Other works confirmed these optimal conditions for He-implantation to
relax strained Si1-xGex films [Mor04,Luy02]. They are summarized in the table 4.a.
109
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
Table 4.a – Summary of the micro-structural observation for different implant conditions [Buc04].
The discussed experimental and theoretical observation explain the
limitation of He-implantation and annealing for the realization of Si1-xGex virtual
substrates. In fact, the mechanism of relaxation requires the following steps:
1) Growth of a strained Si1-xGex layer of a thickness h on a Si substrate.
2) He+ implantation with Rp of about 2h to avoid poor quality of the
Si1-xGex layer after cavities formation, and fluence in between 1-2
x1016 cm-2 to generate a narrow band of cavities.
3) Annealing at temperature higher than 700 °C for at least 20 minutes.
Observing the first two points is clear that increasing the concentration x of
Ge in the alloy to 0.4, h is of the order of a 30 nm meaning the requirement of He
Rp at 60 nm depth. The He fluences of 1-2 x1016 cm-2 would cause a retained He
fluence in the Si1-xGex layer higher than that generated high TD density and surface
roughness in the relaxed Si1-xGex film (1x1015 cm-2) [Cai04]. This explain because
the best results of this approach to obtain high degree of relaxation and acceptable
density of defects were obtained for 145 nm of Si0.71Ge0.29 (68% strain relaxation)
[Luy02] and 425 nm of Si0.79Ge0.21 (91% strain relaxation), anyhow, for x < 0.3
[Buc04].
If a method to control the depth where cavities nucleate and grow exists,
these limitations can be overcome. Thus, the location of cavities emerges as one of
the key points to exploit He+ implantation for Si1-xGex relaxation. Actually, the
control of cavities position is only related to the He Rp and, consequently, on the
He implantation energy. In the next section it is demonstrated that buried strained
layer in Si acts as preferential location for cavities nucleation and growth also for
He Rp deeper than the position of these strained layers.
110
4.3 Localization of He induced nanocavities in Si
4.3 Localization of He induced nanocavities in Si
In this section the sharp localization of He induced nanocavities in Si by
means of strain due to Ge- or C-rich delta layers in Si is presented. We deal with
the role of Ge and C in the formation and evolution of cavities induced by thermal
annealing of He+ implanted Si crystal. When Ge or C are in substitutional position
within the Si lattice, inducing a local tensile strain field, the formation of
nanocavities is localized exclusively in these strained regions. On the other hand,
when C or Ge have been displaced from substitutional lattice sites (due to high He+
implantation fluences) and strain is not longer present, the cavities formation and
growth is influenced by the interactions of Ge or C atoms with the Si lattice point
defects.
4.3.1 The role of Ge in Si
A structure consisting of 60 nm of Si, 4 monolayers (MLs) of pure Ge (delta
layer), 60 nm of Si, 8 nm of Si0.8Ge0.2 (box layer) and 160 nm of Si cap layer was
grown onto a (001) Si Czochralski (Cz) substrate using molecular beam epitaxy
(MBE). The substrate temperature was 500 °C with Si and Ge deposition rates of 0.2
nm/s and 0.05 nm/s, respectively.
Figure 4.7 – The schematic diagram of the as grown sample.
Samples underwent single He+ implantation processes at energies of 20 or
30 keV and a fluence of 1x1016 cm-2, or a multiple implantation from 30 to 10 keV
111
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
and different fluences in order to produce a box-shape He profile with a final
concentration of 1x1021 cm-3. Si Cz samples were implanted in the same conditions
and used as a reference. It is important to notice that the actual fluences and
thicknesses of the two layers containing Ge has been measured in the as-grown
material by He+ Rutherford Backscattering Spectrometry (RBS at 1.5 MeV) and
cross-section Transmission Electron Microscopy (X-TEM). These analyses confirm
the presence of 8 nm of Si0.80Ge0.20 in the box layer but shows that the four
monolayers of Ge (nominally 0.567 nm thick) of the delta layer spread into 1.7 nm
of Si0.67Ge0.33 (average composition) due to the Si-Ge interdiffusion taking place
during the deposition at 500 °C. The new average composition was estimated
using the Ge fluence, measured by RBS, diluted into the Si1-xGex thickness
measured by X-TEM.
The formation of cavities has been induced by thermal annealing in N2
atmosphere at 800 °C for 1 hour. The interdiffusion of Si and Ge during the
annealing induces a further broadening of box and delta Si1-xGex layers which
become 9.4 nm of Si0.83Ge0.17 and 4.7 nm of Si0.88Ge0.12, respectively. In table 4.b.
concentrations and thicknesses of Si1-xGex layers are summarized.
Si1-xGex
layers
Nominal value
x
Box
delta
20%
100%
Thickness
[nm]
8
0.56
As-grown
x
20%
33%
Thickness
[nm]
9.4
1.7
As-annealed
x
17%
12%
Thickness
[nm]
9.4
4.7
Table 4.b – The nominal and measured value (RBS and XTEM image) of Ge concentration and
thickness of the two Si1-xGex layers after MBE deposition and annealing at 800 °C for 1 h in N2.
X-TEM analyses were acquired in “out of Bragg” condition [Gri00a], tilting
the sample around the [400] direction until all the diffraction spots became weak.
Slight defocus was also introduced to highlight cavities [Gri00b]. Finally, the mass
contrast indicates the Ge presence by dark regions. Thus, in these analysis
conditions, we are able to obtain simultaneously information about cavity
presence, size and location with respect to the Ge-enriched layers.
Fig. 4.8 shows the X-TEM images of the Si Cz (4.8a) and MBE sample (4.8b
and 4.8c) for the He+ 20 keV implantation process after thermal annealing.
112
4.3 Localization of He induced nanocavities in Si
Figure 4.8 – X-TEM images in out of Bragg condition of the Si Cz (a) and MBE sample (b) after
1x1016 cm-2 He+ implantation at 20 keV and 800 °C 1h thermal annealing. White and black lines are,
respectively, the vacancy (not in scale) and He profiles simulated by SRIM code [Zie85]. The lower
figure (c) is the magnification of (b) and reveals that very small cavities are also present within the
shallower Ge-enriched layer (dark linear band at about 170 nm depth), while elsewhere no cavities are
visible.
The He+ and vacancy concentration profiles (the latter not in scale)
obtained by SRIM simulation [Zie85] for the as implanted materials are
superimposed to the X-TEM images. As discussed in the section 4.2, at this He
fluence and annealing condition the formation of cavities is expected to occur only,
or mainly, around the He+ Rp value. In the Si Cz sample (Fig. 4.8a), isolated
spherical cavities are in fact observed around the He+ Rp, dispersed in between 200
and 280 nm from the surface. Also in the MBE sample (Fig. 4.8b) cavities are visible
at the He+ Rp, which was at the same depth of the delta layer, whereas the vacancy
113
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
profile peak is near to the box layer (dark linear band at about 170 nm depth). It is
evident that the presence of Ge strongly acts on the localization of cavities which
appear aligned in a single layer. Furthermore, the number of cavities in the MBE
sample appears to be much higher with respect Si Cz sample, indicating a larger
number of nucleation sites, and the size of cavities is dispersed to 3 nm (the delta
layer thickness). The magnification of Fig. 4.8b (Fig 4.8c), shows very small cavities
(diameters of 2-4 nm) within the box layer, while no cavities seems to be present
elsewhere in the Si regions. At the same depth in the Si Cz sample, cavities are not
observed as well.
An implant of 1x1016 cm-2 was done at 30 keV, with the He peak located
near to the film/substrate interface far from the two Si1-xGex layers to test if Ge
favours the formation of nanocavities even far from the He+ Rp. In Fig. 4.9 we
show the X-TEM image of the MBE annealed sample superimposed to the SRIM
simulation of the He+ and Vs (the latter not in scale) profiles.
Figure 4.9 - X-TEM images in out of Bragg condition of the MBE sample after 1x1016 cm-2 He+
implantation at 30 keV and 800 °C 1h thermal annealing. White and black lines are, respectively, the
vacancy (not in scale) and He profiles simulated by SRIM code. Because of the mass contrast, the two
Ge-enriched layers are visible as dark linear bands at about 170 and 240 nm depth. Residual impurities
at the film/interface depth have aligned cavities of very different size in coincidence with the He
projected range, but very small cavities (see the arrow) are also within the two Ge-enriched layers, but
not in other regions of the sample.
In this case, cavities of different size (2-50 nm) and shape are aligned at the
MBE growth interface, while only very small nanocavities (2-4 nm) are localized
114
4.3 Localization of He induced nanocavities in Si
within the two Si1-xGex layers. The formation of cavities due to impurities at the
interface has been already reported and attributed to a large number of immobile
point defects which serve as sites for Vs cluster nucleation and growth during
thermal annealing [VVe93]. What is worth noting is again the presence of
nanocavities within the Si1-xGex layers. In particular, the formation of nanocavities
in the box layer is very similar to what observed in the sample implanted at 20
keV, despite of the much longer distance either from Vs and He+ peaks. Moreover,
although for the 30 keV implant the Vs peak is located at the depth of the delta
layer, less and smaller nanocavities are observed with respect to the box layer, as
also observed in other X-TEM images (not shown).
These results demonstrate the strong influence of both He+ and Ge on the
formation and localization of cavities, respectively. In order to study the
localization of cavities independently from the He+ Rp, the MBE sample was also
implanted, in sequence, with He+ at 30, 25, 20, 15 and 10 keV, at different fluences,
to create a box-like region with a constant He concentration profile. The depth
distribution of He, along with the He-V repulsive interaction [Est97], should
induce the stabilization of Vs clusters and the consequent formation of cavities
over a wide region with respect to a single implantation process [Rai00a]. In Fig.
4.10 the X-TEM of the multiple implants sample after thermal annealing is
reported, superimposed to the He profile for the as implanted material obtained as
convolution of the single implant profiles.
Figure 4.10 - X-TEM images in out of Bragg condition of the MBE sample after multiple He+
implantation processes at 30, 25, 20, 15 and 10 keV, and thermal annealing at 800 °C for 1 h. The
black line represents the final He profile simulated by SRIM code. The formation of cavities is
restricted to the Si1-xGex layers and the film/substrate interface.
115
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
In this case the He fluence is above the critical value for the stabilization of
V2 all over the sample creating nucleation sites in a wide region [Rai00a] but, once
again, large cavities nucleate and grow only within the two Si1-xGex layers and at
the film/substrate interface. Disregarding the effect of uncontrolled impurities at
the film/substrate interface, the Ge has clearly controlled and driven the formation
of Vs clusters and the subsequent formation and growth of cavities, not only by a
preferential location at the Si1-xGex layers, but also preventing their formation
outside of the Ge-enriched regions.
A final remark concerning all X-TEM images is that many cavities, in both
Si Cz and MBE samples are surrounded by dark lines, or fringes, which are visible
in our defocus operation mode despite of the weak beam condition. Tillman et al.
reported that these structures arise from the lattice distortion around cavities due
to the presence of residual pressurized gas (He) in the cavities [Til04]. Therefore,
we should assume that not all the He implanted have been left from the sample
after annealing.
To discuss our results we refer to Gaiduk et al. [Gai03a,Gai03b] about the
formation of nanocavities into thin strained Si1-xGex layers after in situ 1 keV Ge+
ion implantation during MBE deposition or after 800 keV Ge+ post growth
implantation. They stated that the compressive strain due to the Si1-xGex induces
in-diffusion and accumulation of Vs within this layer. This step is then followed by
cavity nucleation and growth during high temperature annealing processes. A
similar mechanism could be responsible for the strong localization of cavities
observed in our samples. In fact, during the He+ implantation, Vs accumulate
within the Si1-xGex layers in order to compensate the compressive strain. This
process induces the formation of V2 or bigger Vs clusters that can be further
stabilized by He trapping. Then, thermal annealing allows the nucleation and
growth of cavities mainly where the He fluence is higher than the threshold for
cavity formation [Rai00a]. This agrees with Fig. 4.8b and Fig. 4.8c where we
observe the nucleation of large cavities at the depth of the delta layer, only very
small cavities at the shallower box layer and no cavities elsewhere. In fact, at the
He+ Rp depth (i.e. in correspondence of the delta layer) there is a high
concentration of both He and Vs clusters (the latter induced by the Ge presence),
this helping the stabilization and growth of a number of big cavities. At the depth
of the box layer, the accumulation of Vs is driven by the strain, but the He available
to stabilize Vs and to form cavities is much less, so only a few and very small
nanocavities form. Elsewhere, in the Si regions, neither sufficient Vs nor He were
present to induce the formation of large or small cavities.
The main role of the strain as driving force for Vs trapping and clustering
also agrees with the results observed in Fig. 4.9. In fact, it seems that more and
bigger cavities are present within the box layer than into the delta layer, despite the
116
4.3 Localization of He induced nanocavities in Si
latter is closer to the He+ Rp. This behaviour can be explained if we consider that
the in-plane strain retained in the box layer (Si0.83Ge0.17) layer is higher with respect
to that of the delta layer (Si0.88Ge0.12), roughly 6.2x10-3 vs. 4.5x10-3, respectively.
Moreover, the box layer is a factor 2 thicker, so having a higher probability to trap
Vs. The final proof that Ge is extremely effective to localize nanocavities is given by
the sample with the multiple implants, where regions of pure Si (with the
exception of the film/substrate interface) are completely depleted of cavities which
are present only at the Si1-xGex layers.
4.3.2 The role of C in Si
An epitaxial Si (001) layer was grown by molecular beam epitaxy (MBE) on
an n-type Si Cz substrate. The film is a sequence of 240 nm of pure Si, 20 nm of Si
enriched with C at concentration of 0.8 at. %, and 240 nm of pure Si cap layer, as
schematized in Fig. 4.11. C is incorporated into substitutional sites during the
growth, as confirmed by post-growth analyses [Mir02] and high resolution X-ray
diffraction (HR-XRD) analysis. The total deposition rate was 0.1 nm/s and the
substrate temperature was 550 °C.
Figure 4.11 – The scheme of the as grown sample.
Three set of samples were implanted at room temperature with He+ at
energy of 30 keV and fluences of 8x1015, 3x1016 and 5x1016 cm-2 respectively. The
He+ Rp (at which the nucleation of cavities in Si should occur) is 300 nm, about 40
nm beyond the C enriched Si layer located at 240 nm from the surface. Si Cz
samples were also implanted at the same conditions for reference. To induce the
117
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
formation of cavities, thermal annealing was done under N2 flow at a temperature
of 800 °C for 1 hour. Moreover, to investigate the kinetics of cavities formation in
the C-enriched films, a different set of annealing processes on the sample with
5x1016 He+/cm2 was performed. Two pieces were annealed at 800 °C for 5 seconds
or 10 minutes in N2 ambient, in a rapid thermal annealer furnace (ramp rate at ~
100 °C/s).
Layer thickness, cavities size and location were measured by X-TEM
analysis. The perpendicular strain in the Si lattice (and the C content) in the Cenriched layer were measured by means of HR-XRD using a Philips X'Pert PRO
MRD diffractometer equipped with a Bartels Ge(220) four-crystal monochromator
and a parabolic mirror, using a channel-cut Ge(220) analyzer before the detector.
The Cu Kα1 radiation (~ 8 keV) was selected as the probe. Both symmetrical (004)
and asymmetrical (224) reflections were used to investigate the strain status of the
epitaxial layers that have always been found pseudomorphic to the Si substrate.
The analyses of these samples give evidence of a double role of C in Si
regarding the formation and growth of nanocavities. Thus, we present the results
in two subsections, the former focusing on the effect of the strain induced by
substitutional C, the latter focusing on the effect of C as impurity out of lattice sites
4.3.2a Cavities localization in Si layer with substitutional C
Fig. 4.12 reports the X-TEM images of the Si Cz (Fig. 4.12a) and the MBE
sample (Fig 4.12b) after He+ implantation at an energy of 30 keV with a fluence of
8x1015 cm-2 and annealing for 1 hour at 800 °C. He+ and V profiles simulated by the
SRIM code [Zie85] are superimposed to X-TEM images. The Si Cz sample shows
the formation of isolated cavities dispersed in a region of about 60 nm which lies in
between the He+ and V projected ranges, with a diameter of about 25 nm. On the
other hand, in the MBE sample cavities are localized only in the C-enriched Si
layer, at a distance of about 40 nm from the He+ Rp depth, with diameters ranging
in between few nanometers to about 20 nm, corresponding to the width of the Cenriched layer.
118
4.3 Localization of He induced nanocavities in Si
Figure 4.12 – X-TEM images in out of Bragg condition of a Si Cz (a) and MBE sample (b) after 8
x 1015 cm-2 He+ implantation at 30 keV and 800°C 1h thermal annealing. White and black lines
are, respectively, the vacancy (right hand scale) and He (left hand scale) profiles simulated by
SRIM code [Zie85].
Fig. 4.13 shows the X-TEM images of the MBE sample after He+
implantation at an energy of 30 keV with a fluence of 3x1016 cm-2 (4.13a) and 5x1016
cm-2 (4.13b) after the same thermal annealing. The depth of the C-enriched layer is
highlighted by two markers. In both cases it is evident as the C-enriched layer is
not anymore a preferential region for the formation of cavities. In particular, in the
sample implanted with fluence of 3x1016 cm-2, cavities are aligned in a narrow
region, about 55 nm wide, around the He+ Rp, with a density and morphology
very similar to that observed in a Si Cz sample (not shown). Very small cavities can
be observed in between the surface and the left edge of the C-enriched layer, but
not therein. For a higher He+ fluence (5x1016 cm-2) the formation of cavities is
strongly affected by the C-enriched layer as shown in the X-TEM of figure 4.13b.
Due to the high He+ fluence, large cavities are now dispersed over a wide region
from the He+ RP toward the surface for about 230 nm, but with an anomaly in
correspondence of the C-enriched layer which is delimited by two lines of smaller
cavities.
119
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
Figure 4.13 – X-TEM images in out of Bragg condition of MBE samples implanted at
30 keV with He+ at fluences of 3 x 1016 cm-2 (a) and 5 x 1016 cm2 (b), and annealed at
800°C for 1 h.
On the basis of the data presented in Fig. 4.12 and Fig. 4.13, we can
conclude that C induces a preferential nucleation of cavities for a low He+ fluence
such as e.g. 8x1015 cm-2, while at higher fluences the opposite effect is observed, i.e.
an inhibition of cavities formation within the C-enriched layer. This behaviour
indicates that the mechanism of the interaction between cavities and C cannot
simply be of chemical origin (i.e. due to the presence of C atoms).
On the basis of previous results of section 4.3.1, cavities nucleation and
growth are strongly related to the level of strain in the hosting matrix. The
localization of cavities due to the compressive strain in Si1-xGex thin layers is
preferred. If a similar mechanism is invoked in the case of the tensile strain
induced by substitutional C, the formation of cavities far from the He+ RP observed
in Fig. 4.12 is explained assuming that C atoms are still in substitutional positions
after the low fluence ion implantation. For the same reason, the different behaviour
in the others two samples of Fig. 4.13 must then be attributed to the fact that C
120
4.3 Localization of He induced nanocavities in Si
should have been displaced from the substitutional position during the collision
cascades of the higher fluences ion implantations and strain is no longer present.
To check this hypothesis we verified the level of substitutionality of C
atoms in our samples. HR-XRD rocking curves measurements are reported in Fig.
4.14 for various MBE samples: as grown (4.14a), as-implanted 8x1015 cm-2 (4.14b),
3x1016 cm-2 (4.14c), 5x1016 cm-2 (4.14d).
Figure 4.14 – HR-XRD rocking curves of the as grown and as-implanted MBE samples (prior to
the thermal annealing process). The peak at 0 degrees arises from the Si(001) substrate.
In the as grown sample, a large peak on the right side region of Si substrate
diffraction peak is present. This is due to the tensile strain induced by
substitutional C in the Si lattice and the best simulation of the spectrum is obtained
with 4x1020 C/cm3 at depths in between 240 and 260 nm (as anticipated above).
The perpendicular tensile strain is ε⊥ = -2.77x10-3. In the as-implanted samples, a
compressive strain contribution due to He+ implantation damage is highlighted by
the shoulder on the left side region of Si substrate diffraction peak. Nevertheless,
only the sample implanted with 8x1015 cm-2 shows a remaining tensile
(ε⊥ = - 1.29x10-3) caused by substitutional C atoms and visible in the HR-XRD
121
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
spectrum as a small extent shoulder located at positive angles. In the others two
cases no tensile strain is revealed by HRXRD, confirming that all C atoms have
been displaced during the implantation. In fact, only substitutional C gives tensile
strain, while off lattice C does not contribute to it [DeS00].
The amount of C atoms displaced during the implantation processes has
been simulated by the SRIM code for the three He+ implanted fluences of 8x1015,
3x1016 and 5x1016 cm-2, finding 1.5x1020, 5.5x1020 and 9.4x1020 cm-3 respectively.
These values, reported in Fig. 4.15, indicate that only in the case of the low He+
fluence the major part of C atoms are still in substitutional position after the
implantation, whereas in the other two cases all the C atoms should have been
displaced. These simulation support the HR-XRD results discussed above.
Figure 4.15 – SRIM simulation of the C displaced in the C-enriched layer (in between 240
and 260 nm) after He implantation at 8x1015 (continuous line), 3x1016 (dashed line) and
5x1016 cm-2 (pointed line). The calculation shows that only the implant at lower fluence
permits a certain number of C remains in substitutional lattice sites.
These experimental evidences indicate that the nucleation of cavities is
enhanced in the C-enriched layer because of the strain induced by substitutional C
atoms rather than a chemical effect due to the presence of this impurity. This result
must be linked together with the effect of the strain reported in the case of Si1-xGex
layers (see section 4.2.1, although in that case the layers containing Ge were under
compressive strain).
122
4.3 Localization of He induced nanocavities in Si
4.3.2b Cavities evolution in Si layer with displaced C
If the strain induced by substitutional C in the sample implanted with
8x1015 cm-2 is a driving force for cavities nucleation, the effect of displaced C can be
investigated using the two samples implanted at 3x1016 cm-2 and 5x1016 cm-2. The
former has shown (Fig. 4.13a) large cavities only around the He+ projected range,
with very small cavities extending toward the surface of the sample. However,
these very small cavities are missing within the C-rich layer. The anomaly due to
the C atoms is much more evident in the 5x1016 cm-2 sample (Fig. 4.13b), where the
C-rich layer is delimited by two sharp lines of cavities whose dimensions are
smaller with respect to the others formed at deeper or shallower regions of the
sample. The complexity of the cavities localization in this sample needs a deeper
investigation to understand the kinetics of their formation and the role of C when
the strain is no longer present. For this reason we made two further annealings at
the same temperature but different times.
Fig. 4.16 shows X-TEM images of the 5x1016 cm-2 sample after ion
implantation (4.16a), and after thermal annealing at 800 °C for 5, 600 and 3600
seconds (4.16b, 4.16c and 4.16d, respectively). The as-implanted sample only shows
typical platelets defects [Mye99], while the situation drastically changes already
after 5 s of thermal annealing: cavities are formed in a wide range, with size and
density distributions similar to those observed after longer annealing processes.
The edges of the C-enriched layer are decorated by two sharp lines of small cavities
surrounded on both sides by regions with a much low density of such a kind of
defects, either within or outside the layer. The evolution with time reveals that
cavities evolve into large cavities only far from the C- enriched region, while those
at the edges or within it show no remarkable evolution with respect to the first 5
seconds. An explanation of this behaviour can be proposed in terms of reduced
mobility in presence of C displaced from substitutional sites [Vis03]. In fact, the
formation and growth of cavities is based on the aggregation (i.e. mobility) by
coalescence and/or ripening of Vs and cluster of Vs which are stabilized by He
atoms. Thus, a reduced mobility will produce smaller cavities, as also suggested by
Vishnyakov et al. [Vis03] for He-induced nanocavities in Si pre-implanted with
other impurities. They found that, after annealing at 900°C for 10 minutes, the
mean size of cavities was smaller in samples pre-implanted with C, or O or N,
attributing this effect to the reduced mobility of cavities interacting with the
impurity atoms. In our case, the implantation of 5x1016 He+/cm2 at 30 keV is
expected to produce Vs formation in a wide range of depth and a consequent
continuous distribution of large cavities, as observed in Si by increasing the He+
fluence at fixed energy and annealing conditions. However, the situation reported
in figures 4.16b, 4.16c and 4.16d is completely different.
123
NANOCAVITIES LOCALIZATION IN Si BY STRAIN ENGINEERING
Figure 4.16 – X-TEM images of the sample implanted with 5 x 1016 He+/cm-2 (a) and
annealed for 5 (b), 600 (c) and 3600 (d) seconds.
The depletion of cavities (in particular the large ones) within the C-rich
layer can be ascribed to the reduced mobility of Vs (singles and clusters) in that
region. As far as cavities at the edges of the C-rich layer are concerned, they grow
at the very beginning of the thermal annealing (see figure 4.16b), by collecting Vs
and nanocavities from the surrounding, carbon free, regions on the left and right
side respectively. Once these Vs and nanocavities have been captured at the edges
of the C layer, the situation in that region is in some way “frozen”: regions next to
the C-rich layer are depleted of nanocavities and those at the edges cannot further
grow. The only cavities growing with the annealing time are those far from the Crich layer, where the mobility has not been reduced.
124
4.4 Concluding remarks
4.4 Concluding remarks
In conclusion, the role of Ge and C in the formation of voids induced in
crystalline Si after He+ ion implantation and thermal annealing was studied. The
use of MBE grown material has allowed the formation of a thin buried epitaxial
layer doped with Ge or C.
We demonstrated that the presence of a very thin layer containing Ge in Si
can be efficiently used to localize cavities after He implants and thermal annealing.
Vacancies tend to migrate toward the Si1−xGex layers to compensate the strain,
leaving the other regions of the material depleted of this kind of defect. A high
concentration of vacancies helps the formation of vacancy clusters, which can be
then stabilized by He, thus becoming nucleation sites for the growth of voids. The
insertion of a thin Si1−xGex film during the epitaxial growth allows the localization
of voids and bubbles at preferential depths, suppressing the spread due to the He+
and V implantation profiles.
Moreover, we report that for low He implanted fluence the strain due to
substitutional C acts as a driving force for the formation of voids which localize
within the C-rich layer, rather than at the He+ projected range depth. At high
implantation fluences, when all the C atoms have been displaced from
substitutional lattice sites, and the strain is not longer present in the C-rich layer,
the only effect of C is to reduce vacancies and nanovoids mobility, inhibiting the
formation and growth of large cavities.
This study confirms that the epitaxial strain, both tensile (C-doped layer)
and compressive (in the case of Ge-doped layer) is a critical parameter to control
the formation and growth of voids in Si, and that the use of impurities compatible
with the Si epitaxy technology can be strategically used to this aim.
This kind of void engineering seems to be very promising for defect
trapping and, principally, in Si1−xGex virtual substrates. In fact, the control of
location of nanovoids at preferential depth can help going beyond the actual limit
in relaxation of Si1−xGex film.
125
CONCLUSIONS
Conclusions
In this thesis we investigated the effects of strain and impurities on solid
phase epitaxy re-growth and defect formation in Si and SiGe films. We have
identified the dependence of SPER rate on the strain due to incorporation of
impurities. Our results demonstrate that strain affects the SPER rate of doped Si
more efficiently than in undoped Si. The same dependence of the SPER rate from
the strain value is observed in both n- and p-type doped Si layer, confirming the
independence of the fit parameters from the chemical species. Moreover, we
presented the role of the type of Si substrate (Czochralsky or Floating Zone) on the
SPER rate in B-doped samples. This effect can be attributed to the different content
of O in Cz and Fz substrates.
Our work can provide valuable informations to understand the basic
mechanisms of Si SPER that is being studied for over 30 years.
In addition, we showed how the evolution of the crystal-amorphous
interface in a material under high strain (epitaxial Si0.83Ge0.17 on Si) always
produces defects after a few nanometers of the re-growing layer, regardless of
doping. Instead C implantation increases the thickness of Si0.83Ge0.17 layer regrown
free of defects, confirming a predominant role of strain on the c-α interface
evolution. Ion implantation and subsequent SPER is then unsuitable for the
realization of devices with SiGe films on Si.
In the final part of the work, the role of Ge and C in the formation of voids
induced in crystalline Si after He+ ion implantation and thermal annealing was
studied. The insertion of a thin Si1−xGex film or a C-rich layer during the epitaxial
growth of Si allows the localization of voids and bubbles at preferential depths,
rather than at the He+ projected range. This study confirms that the epitaxial strain,
both tensile (C-doped layer) and compressive (in the case of Ge-doped layer) is a
critical parameter to control the formation and growth of voids in Si, and that the
use of impurities compatible with the Si epitaxy technology can be strategically
used to this aim. This kind of void engineering seems to be very promising for
Si1−xGex virtual substrates, which are required for the growth of strained Si layers.
In fact, the control of the location of nanovoids at preferential depths can help to go
beyond the actual limits in relaxation of Si1−xGex films.
126
REFERENCES
References
[Ade04]
J. Adey, R. Jones, D. W. Palmer, P. R. Briddon and S. Öberg, Phys. Rev. Lett.
93, 055504 (2004)
[Ala92]
M. Alatalo, M. J. Puska, and R. M. Nieminen, Phys. Rev. B 46, 12806 (1992)
[Ale96]
V. Alex, S. Finkbeiner, and J. Weber, J. Appl. Phys. 79, 6943 (1996)
[Ant96]
M. J. Antonell, K. S. Jones and T. E. Haynes, J. Appl. Phys. 79, 7646 (1996)
[Atz94]
Z. Atzmon, M. Eizenberg, Y. Shacham-Diamand, J. W. Mayer and F.
Schäffler, J. Appl. Phys. 75, 3936 (1994)
[Azi91]
M.J. Aziz, P.C. Sabin and G.-Q. Lu, Phys. Rev. B 44, 9812 (1991)
[Bak75]
J.F.C. Baker and M. Hart, Acta Crystallogr. A 31a, 364 (1975)
[Bar01]
W. Barvosa-Carter and M. J. Aziz, Appl. Phys. Lett. 69, 356 (2001)
[Bar04]
W. Barvosa-Carter, M. J. Aziz, A.-V. Phan, T. Kaplan and L. J. Gray, J.
Appl. Phys 96, 5462 (2004)
[Bar98]
W. Barvosa-Carter, M. J. Aziz, L. J. Gray and T. Kaplan, Phys. Rev. Lett. 81,
1445 (1998)
[Bed78]
D. Bednarczyk and J. Bednarczyk, Physics Letters A 64, 409 (1978)
[Ber81]
H. L. Berkowitz and R. A Lux, J. Electrochem. Soc. 18, 1137 (1981)
[Bis06]
G. Bisognin, D. De Salvador, E. Napolitani, A. Carnera, E. Bruno, S.
Mirabella, F. Priolo and A. Mattoni, Semicond. Sci. Technol. 21, L41 (2006)
[Bis97]
R. Biswas, Qiming Li, Y. Yoon and H. M. Branz, Phys. Rev. B 56, 9197
(1997)
[Bra95]
Bracht H. Stolwijk N.A. and Mehrer H., Phys. Rev. B 52, 16542 (1995)
[Bri54]
J. A. Brinkman, J. Appl. Phys. 25, 961 (1954)
[Bro70]
M. H. Brodsky, R. S. Title, K. Weiser and G. D. Pettit, Phys. Rev. B 1, 2639
(1970)
[Bru07]
E. Bruno, S. Mirabella, F. Priolo, E. Napolitani, C. Bongiorno and V.
Raineri, J. Appl. Phys. 101, 023515 (2007)
[Buc04]
D. Buca, M.J. Mörschbächer, B. Holländer, M. Luysberg, R. Loo, M.
Caymax, and S. Mantl, Mat. Res. Soc. Symp. Proc. 809, B1.6.1 (2004)
[Buc06]
D. Buca, S. F. Feste, B. Holländer, S. Mantl, R. Loo, M. Caymax, R. Carius
and H. Schaefer, Solid State Electonics 50, 32 (2006)
[Cai04]
J. Cai, P.M. Mooney, S. H. Christiansen, H. Chen, J. O. Chu and J. A. Ott, J.
Appl. Phys. 95, 5347 (2004)
[Cam82]
S. U. Campisano, Appl. Phys. A 29, 147 (1982)
127
REFERENCES
[Che96]
H. Chen L. W. Guo, Q. Cui, Q. Huang and J. M. Zhou, J. Appl. Phys. 79,
1167 (1996)
[Cof93]
S. Coffa, F. Priolo and A. Battaglia, Phys. Rev. Lett. 70, 3756 (1993)
[Cor96]
F. Corni, S. Frabboni, R. Tonini, G. Ottaviani and G. Queirolo, J. Appl. Phys.
79, 3528 (1996)
[Cor98]
F. Corni , S. Frabboni, R. Tonini, D. Leone, W. de Boer and A. Gasparotto,
Jpn. J. Appl. Phys. 37, L339 (1998)
[Corr66]
J. W. Corbett, Solid State Physics 7, Academic Press, New York (1966)
[Cse75]
L. Csepregi, J. W. Mayer and T. W. Sigmon, Phys. Lett. A 54, 157 (1975)
[Cse76]
L. Csepregi, E. F. Kennedy, T. J. Gallagher, J. W. Mayer and T.W. Sigmon,
Appl. Phys. Lett. 29, 92 (1976)
[Cse77]
L. Csepregi, R. P. Kullen, J. W. Mayer and T. W. Sigmon, Solid State
Commun. 21, 1019 (1977)
[Cse78]
L. Csepregi, E. F. Kennedy, J. W. Mayer and T. W. Sigmon, J. Appl. Phys.
49, 3906 (1978)
[DeS00]
D. De Salvador, M. Petrovich, M. Barti, F. Romanato, E. Napolitani, A.
Drigo, J. Stangl, S. Zerlauth, M. Mühlberger, F. Schäffler, G. Bauer and P.
C. Kelires, Phys. Rev. B 61, 13005 (2000)
[Dis64]
J. P. Dismukes, L. Ekstrom and R. J. Paff, J. Phys. Chem. 68, 3021 (1964)
[Dod88]
B. W. Dodson and J. Y. Tsao, Phys. Rev. B 38, 12383 (1988)
[Don83]
E. P. Donovan, F. Spaepen, D. Turnbull, J.M. Poate and D.C. Jacobson,
Appl. Phys. Lett. 42, 698 (1983)
[Don85]
E. P. Donovan, F. Spaepen, D. Turnbull, J. M. Poate and D. C. Jacobson, J.
Appl. Phys. 57, 1795 (1985)
[Doo85]
R. Doolittle, Nucl. Instr. Meth. B 9, 344. (1985)
[Doo86]
R. Doolittle, Nucl. Instr. Meth. B 15, 227 (1986)
[dSa95]
J. C. G. de Sande, A. Rodríguez and T. Rodríguez, Appl.Phys.Lett. 67, 3402
(1995)
[Du06]
M.-H. Du, H. M. Branz, R. S. Crandall and S. B. Zhang, Phys. Rev. Lett. 97,
256602 (2006)
R. G. Elliman and W. C. Wong, Nucl. Instrum. Methods B. 80/81, 768 (1993)
[Ell93]
[Ell94]
R. G. Elliman, W.-C. Wong and P. Kringhøj, Mater. Res. Soc. Symp. Proc.
321, 375 (1994)
[Ell96]
R. G. Elliman and W. C. Wong, Appl. Phys. Lett. 69, 2677 (1996)
[Est97]
S. K. Estreicher, J. Weber, A. Derecskei-Kovacs and D. S. Marynick, Phys.
Rev. B 55, 5037 (1997)
[Fel86]
L. C. Feldman and J. W. Mayer, Fundamentals of surface and thin film
analysis, by North-Holland, Amsterdam (1986)
128
REFERENCES
[Fis96]
M. V. Fischetti and S. E. Laux, J. Appl. Phys. 80, 2234 (1996)
[Fol96]
D. M. Follstaedt, S. M. Myers, and S. R. Lee, Appl. Phys. Lett. 69, 2059 (1996)
[Fra49]
F. C. Frank, J. H. van der Merwe, Proc. R. Soc. Lond. A 198, 205 (1949)
[Gai03a]
P. I. Gaiduk, J. Lundsgaard Hansen, and A. Nylandsted Larsen, Phys. Rev.
B 67, 235310 (2003)
[Gai03b]
P. I. Gaiduk, A. Nylandsted Larsen, and J. Lundsgaard Hansen, Phys. Rev.
B 67, 235311 (2003)
[Ger83]
P. J. Germain, M. A. Paesler, D. E. Sayers and K. Zellama, Mater. Res. Soc.
Symp. Proc. 51, 319 (1983)
[Gil91]
M. D. Giles, J. Electrochem. Soc. 138, 1160 (1991)
[Gre90]
M. A. Green, J. Appl. Phys. 67, 2944 (1990)
[Gri00a]
J. Grisolia, L. Laanab, B. Aspar, C. Lagahe, G. Ben Assayag, A. Claverie,
Appl. Phys. Lett. 76, 852 (2000)
[Gri00b]
J. Grisolia, F. Cristiano, B. De Mauduit, G. Ben Assayag, F. Letertre, B.
Aspar, L. Di Cioccio and A. Claverie, J. Appl. Phys 87, 8415 (2000)
[Gri02]
J. Grisolia, A. Claverie, G. Ben Assayag, S. Godey, E. Ntsoenzok, F.
Labhom, and A. Van Veen, J. Appl. Phys. 91, 9027 (2002)
[Hay95]
T. E. Haynes, M. J. Antonell, C. Archie Lee and K. S. Jones, Phys. Rev. B 51,
7762 (1995)
[Her89]
M. A. Herman and H. Sitter, Molecular Beam Epitaxy: Fundamentals and
Current Status, 2nd revised and updated edition, Springer, Berlin (1989)
[Herr55]
F. Herman, Proc. IRE 43, 1703 (1955)
[Hir68]
J. P. Hirth and J. Lothe, Theory of Dislocations, McGraw-Hill Inc., (1968)
[Hol01]
B. Holländer, St. Lenk, S. Mantl, H. Trinkaus, D. Kirch, M. Luysberg, T.
Hackbarth, H-J. Herzog and P. F. P. Fitchtner, Nucl. Instr. and Method. in
Phys. Res. B 175-177, 357 (2001)
[Hon92]
Q. Z. Hong, J. G. Zhu, J. W. Mayer, W. Xia and S. S. Lau, J. Appl. Phys. 71,
1768 (1992)
[Hum93]
J. Humlíĉek and M. Garriga, Appl. Phys. A 56, 259 (1993)
[Im93a]
S. Im, J. Washburn, R. Gronsky, N. W. Cheung, K. M. Yu and J. W. Ager,
Appl. Phys. Lett. 63, 2682 (1993)
[Im93b]
S. Im, J. Washburn, R. Gronsky, N. W. Cheung and K. M. Yu, Appl. Phys.
Lett. 63, 929 (1993)
[Ism95]
K. Ismail, M. Arafa, K. L. Saenger, J. O. Chu and B. S. Meyerson, Appl.
Phys. Lett. 66, 1077 (1995)
[Joh04]
B. C. Johnson and J. C. McCallum, Appl. Phys. Lett. 96, 2381 (2004)
[Joh07]
B. C. Johnson and J. C. McCallum, Phys. Rev. B 76, 045216 (2007)
129
REFERENCES
[Kam78]
T.I. Kamins, M.M. Mandurah and K.C. Sarawat, J. Electrochem. Soc. 125, 927
(1978)
[Kas77]
E. Kasper, H.-J. Herzog, Thin Solid Films 44, 357 (1977)
[Kas86]
E. Kasper, Surf. Sci. 174, 630 (1986)
[Kas95]
E. Kasper, A. Schuh, G. Bauer, B. Holländer and H. Kibbel, J. Cryst. Growth
157, 68 (1995)
[Kas98]
E. Kasper , K. Lyutovich, M. Bauer and M. Oehme, Thin Solid Films 336,
319 (1998)
[Ken77]
E. F. Kennedy, L. Csepregi, J. W. Mayer and T. W. Sigmon, J. Appl. Phys.
48, 4241 (1977)
[Kitt05]
C. Kittel, Introduction to Solid State Physics, 8th edition, John Wiley & Sons,
Inc., New York, (2005)
[Kri94]
P. Kringhøj and R. G. Elliman, Phys. Rev. Lett. 73, 858 (1994)
[Kro92]
G. M. W. Kroesen, G. S. Oehrlein, E. De Frésart and G. J. Scilla, Appl. Phys.
Lett. 60, 1351 (1992)
[Lan82]
Physics of Group IV Elements and III-V Compounds, edited by O. Madelung,
Landolt-Börnstein: Numerical Data and functional Relationship in Science and
Technology, Group III, vol.17a, Springer-Verlag, Berlin (1982)
[Lee05]
M. L. Lee, E. A. Fitzgerald, M. T. Bulsara, M. T. Currie and A. Lochtefeld,
Applied Physics Reviews – Focused Review, J. Appl. Phys. 97, 011101 (2005)
[Lee93]
C. Lee, T. E. Haynes and K. S. Jones, Appl. Phys. Lett. 62, 501 (1993)
[Lee94]
In-Ho Lee and K. J. Chang, Phys. Rev. B 50, 18083 (1994)
[Lic86]
C. Licoppe, Y. I. Nissim and P. Henoc, Appl. Phys. Lett. 48, 1441 (1986)
[Lie95]
D. Y. C. Lie, N. D. Theodore, J. H. Song and M. A. Nicolet, J. Appl. Phys. 77,
5160 (1995)
[Lu91]
G.-Q. Lu, E. Nygren and M. J. Aziz, J. Appl. Phys. 70, 5323 (1991)
[Luy02]
M. Luysberg, D. Kirch, H. Trinkaus, B. Hollander, St. Lenk, S. Mantl, H.-J.
Herzog, T. Hackbarth and P. F. P. Fichtner, J. Appl. Phys. 92, 4290 (2002)
[Mat70]
J. W. Matthews, S. Mader and T. B. Light, J. Appl. Phys. 41, 3800 (1970)
[Mat74]
J. W. Matthews and A. E. Blakeslee, J. Cryst. Growth 27, 118 (1974)
[May68]
J. W. Mayer, L. Eriksson and J. A. Davies, Can. J. Phys. 45, 663 (1968)
[May70]
J. W. Mayer, L. Eriksson and J. A. Davies, Ion Implantation in
Semiconductors, Academic Press, New York (1970)
[McC99]
J. C. McCallum, Nucl. Instr. and Method. in Phys. Res. B 148, 350 (1999)
[Mii91]
Y. J. Mii, Y.-H. Xie, E. A. Fitzgerald, F. B. E. Weir and L. C. Feldman, Appl.
Phys. Lett. 59, 1611 (1991)
130
REFERENCES
[Mir02]
S. Mirabella, A. Coati, D. De Salvador, E. Napolitani, A. Mattoni, G.
Bisognin, M. Berti, A. Carnera, A. V. Drigo, S. Scalese, S. Pulvirenti, A.
Terrasi and F. Priolo, Phys. Rev. B 65, 045209 (2002)
[Mor04]
M. J. Mörschbächer, D. L. da Silva, P. F. P. Fichtner, E. Oliviero, M. Behar,
F. C. Zawislak, B. Holländer, M. Luysberg, S. Mantl, R. Loo and M.
Caymax, Nucl. Instr. and Method. in Phys. Res. B 219-220, 703 (2004)
[Mye93]
S. M. Myers, D. M. Follstaedt, H. J. Stein and W. R. Wampler, Phys. Rev. B
47, 13380 (1993)
[Mye95]
S. M. Myers, D. M. Follstaedt, G. A. Petersen, C. H. Seager, H. J. Stein and
W. R. Wampler, Nucl. Instr. and Meth. in Phys. Res. B 106, 379 (1995)
[Mye99]
S. M. Myers and D. M. Follstaedt, J. Appl. Phys. 86, 3048 (1999)
[Nar82]
J. Narayan, J. Appl. Phys. 53, 8607 (1982)
[Nar83]
J. Narayan, O.W. Holland and B. R. J. Appleton, J. Vac. Sci. Technol B 1, 871
(1983)
[Ols88]
G. L. Olson and J. A. Roth, Mater. Sci. Rep. 3, 1 (1988)
[Ori01]
M. Ohring, Materials Science of Thin Films: Deposition & Structure (2nd
edition), Academic, New York (2001)
[Pai91]
D. C. Paine, N. D. Evans and N. G. Stoffel, J. Appl. Phys. 70, 4278 (1991)
[Pel04]
L. Pelaz, L. A. Marqués and J. Barbolla, Applied Physics Review - Focused
Review, J. Appl. Phys. 96, 5947 (2004)
[Peo86]
R. People and J. C. Bean, Appl. Phys. Lett. 48, 538 (1986)
[Pha01]
A.-V. Phan, T. Kaplan, L. J. Gray, D. Adalsteinsson, J. A. Sethian, W.
Bravosa-Carter and M. J. Aziz, Modelling Simul. Mater. Sci. Eng. 9, 309
(2001)
[Phi58]
J. C. Phillips, Phys. Rev. 112, 685 (1958)
[Pol73]
D. E. Polk and D. S. Boudreaux, Phys. Rev. Lett. 31, 92 (1973)
[Pri90]
F. Priolo, C. Spinella and E. Rimini, Phys. Rev. B 41, 5235 (1990)
[Rai00a]
V. Raineri, M. Saggio and E. Rimini, J. Mater. Res. 15, 1449 (2000)
[Rai00b]
V. Ranieri, S. Coffa, E. Szilágyi, J. Gyulai and E. Rimini, Phys. Rev. B 61, 937
(2000)
[Rai97]
V. Raineri and M. Saggio, Appl. Phys. Lett. 71, 1673 (1997)
[Rom05]
L. Romano, Heavily doped Si with B and Ga: electrical properties and clustering,
PhD thesis, University of Catania (2005)
[Rom06]
L. Romano, R. De Bastiani, C. Miccoli, G. Bisognin, E. Napolitani, D. De
Salvador and M. G. Grimaldi, Mater. Sci. and Eng. B 135, 220 (2006)
[Roo89]
S. Roorda, S. Doorn, W. C. Sinke, P. M. L. O. Schilte and E. van Loenen,
Phys. Rev. Lett. 62, 1880 (1989)
131
REFERENCES
[Roo91]
S. Roorda, W. C. Sinke, J. M. Poate, D. C. Jacobson, S. Dieker, B. S. Dennis,
D. J. Eaglesham, F. Spaepen and P. Fuoss, Phys. Rev. B 44, 3702 (1991)
[Rot77]
J.A. Roth and C. L. Anderson, Appl. Phys. Lett. 31, 689 (1977)
[Rot90]
J.A. Roth and G. L. Olson, Appl. Phys. Lett. 57, 1340 (1990)
[RTI64]
Research Triangle Institute (1964)
[Rud07]
N. G. Rudawski, K. S. Jones and R. Gwilliam, Appl. Phys. Lett. 91, 172103
(2007)
[Sag06]
J. F. Sage, W. Barvosa-Carter and M. J. Aziz, J. Appl. Phys. 99, 113529 (2006)
[Sag97]
M. Saggio, V. Raineri, R. Letor and F. Frisina, IEEE Electron Device Lett. 18,
333 (1997)
[Sai84]
T. Saito and I. Ohdomari, Philos. Mag. B 49, 471 (1984)
[Saw03]
K. Sawano, Y. Hirose, S. Koh, K. Nakagawa, T. Hattori and Y. Shiraki,
Appl. Phys. Lett. 82, 412 (2003)
[Sch97]
F. Schäffler, Semicond. Sci. Tecnol. 12, 1515 (1997)
[Shi05]
Y. Shiraki and A. Sakai, Surface Science Reports 59, 153 (2005)
[SHo92]
S. Q. Hong, Q. Z. Hong and J. W. Mayer, J. Appl. Phys. 72, 3821 (1992)
[SHo93]
S. Q. Hong, Q. Z. Hong and J. W. Mayer, Appl. Phys. Lett. 63, 2053 (1993)
[Sie95]
R. Siegele, G. C. Weatherly, H. K. Haugen, D. J. Lockwood and L. M.
Howe, Appl. Phys. Lett. 66, 1319 (1995)
[Spa78]
F. Spaepen, Acta Metall. 26, 1167 (1978)
[Spa82]
F. Spaepen and D. Turnbull, Laser Annealing of Semiconductors, eds. J. M.
Poate and J. W. Mayer, Academic Press, New York (1982)
[Sto93]
P. Stolk, Ph.D. thesis, FOM Institute (1993)
[Suh96]
K.Y. Suh and H. H. Lee, J. Appl. Phys. 80, 6716 (1996)
[Suh97]
K.Y. Suh and H. H. Lee, J. Appl. Phys. 81, 7067 (1996)
[Sun82]
I. Suni, G. Goltz, M. G. Grimaldi, M.-A. Nicolet and S. S. Lau, Appl. Phys.
Lett. 40, 269 (1982)
[Sze69]
S. M. Sze, Physics of Semiconductor Devices, John Wiley & Sons, Inc., New
York (1969)
[Tes95]
J. R. Tesmer and M. Nastasi, Handbook of modern ion beam materials analysis,
eds. Materials Research Society, Pittsburg (1995)
[Til04]
K. Tillmann, N. Hüging, H. Trinkaus, and M. Luysberg, Microsc. Microanal.
10, 199 (2004)
[Tsa87]
J. Y. Tsao, B. W. Dodson, S. T. Picraux and D. M. Cornelison, Phys. Rev.
Lett. 59, 2455 (1987)
132
REFERENCES
[Tsa94]
J. C. Tsang, P. M. Mooney, F. Dacol and J. O. Chu, J. Appl. Phys. 75, 8098
(1994)
[Tsu87]
R. Tsu, D. Martin, J. Gonzalez-Hernandez and S. R. Ovshinsky, Phys. Rev.
B 35, 2385 (1987)
[Tu92]
K. N. Tu, J. W. Mayer and L. C. Feldman, Electronic Thin Film Science, D.
Johnstone Editor, Macmillan Publishing Company, New York (1992)
[Tup91]
C. G. Tuppen, C. J. Gibbings and M. Hockly, Mater. Res. Soc. Symp. Proc.
220, 187 (1991)
[Var67]
Y. P. Varshni, Physica 34, 149 (1967)
[vdM63]
J. H. van der Merwe, J. Appl. Phys. 34, 117 (1963)
[Vec80]
J. A. Van Vechten, A Simple Man’s View of the Thermochemistry of
Semiconductors, Handbook of Semiconductors, edited by T. S. Moss, Volume 3,
edited by S. P. Keller, North Holland (1980)
[Veg21]
L. Vegard, Z. Phys. 5, 17 (1921)
[Vis03]
V. M. Vishnyakov, S. E. Donnely and G. Carter, J. Appl. Phys. 94, 238 (2003)
[VVe93]
A. van Veen, A. H. Reader, D. J. Gravesteijin and A. A. Van Gorkum, Thin
Solid Films 241, 206 (1993)
[Wil82]
J. S. Williams and K. T. Short, Metastable Materials Formation by Ion
Implantation, eds. S. T. Picraux and W. J. Choyke, North Holland, New
York (1982)
[Wil83]
J. S. Williams and R. G. Elliman, Phys. Rev. Lett. 51, 1069 (1983)
[Win90]
D. Windisch, P. Becker, Phys. Status Solidi A 118, 379 (1990)
[Woo85]
F. Wooten, K. Winer and D. Weaire, Phys. Rev. Lett. 54, 1395 (1985)
[Woo87]
F. Wooten and D. Weaire, Solid State Phys. 40, 1 (1987)
[Zie85]
J. F. Ziegler, J. P. Biersack and U. Littmark, in The Stopping Range of Ions in
Solids, Pergamon Press, New York, 1985
133
LIST OF PUBLICATIONS
List of publications
This thesis is based on the following publications:
1)
D. D’Angelo, A. M. Piro, S. Mirabella, C. Bongiorno, L. Romano, A.
Terrasi and M. G. Grimaldi
“Amorphous–crystalline interface evolution during solid phase epitaxy
regrowth of SiGe films amorphized by ion implantation”
Nucl. Instr. and Meth. B 257, 270 (2007)
2)
D. D’Angelo, A. M. Piro, C. Bongiorno, A. Terrasi, M. G. Grimaldi and S.
Mirabella
“Interface roughening and defect nucleation during solid phase epitaxy regrowth of doped and intrinsic Si0.83Ge0.17 alloys”
J. Appl. Phys. 101, 103508 (2007)
3)
D. D’Angelo, S. Mirabella, E. Bruno, A. Terrasi, G. Bisognin, M. Berti, C.
Bongiorno, F. Giannazzo and V. Raineri
“Localization of He induced nanovoids in buried Si1-xGex thin films”
J. Appl. Phys. 103, 016104 (2008)
4)
D. D’Angelo, S. Mirabella, E. Bruno, G. Pulvirenti, A. Terrasi, G.
Bisognin, M. Berti, C. Bongiorno and V. Raineri
“Role of C in the formation and kinetics of nanovoids induced by He+
implantation in Si”
J. Appl. Phys., in press (2008)
134
ACKNOWLEDGEMENTS
Acknowledgements
This thesis is the result of a work done mainly at the Physics and
Astronomy Dept. of University of Catania and at the laboratories of the MATIS
CNR-INFM centre. Many people helped me in the development of my research
and guided me during this years. I feel to recognize them my gratitude.
First of all, thanks to Prof. Antonio Terrasi for having accepted to be my
tutor during this period. I thank him for his guide, sincerity and patience that has
shown and for having always supported me in working and, especially, living.
Heartfelt thanks!
I thank Prof. Maria Grazia Grimaldi for the big chance that gave me
working on interesting areas of research as solid phase epitaxy and for her
availability when I needed clarifications and advices. I thank Prof. Francesco Priolo
for useful scientific discussions and for having involved me in some of the
organizational activities of the scientific centre MATIS that he manages. I am
grateful to Prof. Emanuele Rimini for his interest in some of the research topics that
I treated.
My thanks are also direct particularly to Dr. Lucia Romano, dear friend
before fine help and guidance in a lot of my research activities. Even on the other
side of the world she continued to give me her contribution and all her affection
whenever I needed. Heartfelt thanks! Thanks to Dr. Salvo Mirabella for the
outstanding competence that addresses in the scientific research, his patience, his
sensibility, and for his contributions furnished in a broad part of topics covered in
this thesis. Thanks for having always encouraged and sometimes supported me.
Thanks to Dr. Elena Bruno for many scientific collaborations. Thanks to Dr. Alberto
Piro for the reflectivity simulation program. Thanks to Dr. Isodiana Crupi for
reflectivity programs and her help in some theoretical interpretations.
I thank Salvo Tatì, Carmelo Percolla and Natale Marino, tireless
technicians, always present in case of need at the reflectivity equipment and many
other facilities. I thank some people of the CNR-IMM of Catania: Corrado
Bongiorno for the high competence in the countless TEM images that gave me; Dr.
Markus Italia and Dr. Vittorio Privitera for SRP analyses; Dr. Filippo Giannazzo for
AFM images and Dr. Vito Raineri for useful scientific discussions. Many thanks to
Dr. Gabriele Bisognin and Prof. Marina Berti of the Physics Dept. of Padua for
some of the XRD measurements of this thesis. Thanks also to Prof. Giuseppe
Compagnini of the Chemistry Dept. of the University of Catania for Raman
analyses.
Finally, thanks to all the people that spent lot of time with me at the
Physics Department during these years!
135