정외과(꿈미래 자기소개포트폴리오

Download Report

Transcript 정외과(꿈미래 자기소개포트폴리오

portfolio
About
유지
향
-1-
광운대학교 컴퓨터공학
목차
PART1 "about me"
▶ 이력서
------------------------------------- 4
▶ 자기소개서
------------------------------------- 6
▶ Who am I?
------------------------------------ 10
PART2 "목표기업 분석"
▶ 목표 기업
--------- 23
▶ 기업 분석
--------- 25
▶ 기업관련 기사
--------- 28
▶ 관심 분야 일치 분석
--------- 29
-------------------------------------------------------------------------------------------------------------
PART3 "나의 역량 분석"
▶ 전공 이수 과목
--------------- 33 -2-
----------------------
Part 1
“About me"
-3-
이력서
인적사항
이름(한글)
유지향
이름(영문)
YU JI HYANG
학교
광운대학교
전공
컴퓨터공학과
특기
컴퓨터 활용
취미
인터넷 서핑/
사진촬영/ 여행
사진 보정, 움직이는 이미지 만들기, 포
포토샵
상
스터 만들기, 배너 만들기, 아이콘 만들
기등
활용
능력
프리미어 프로
중
영상편집, 영상효과주기, Ucc제작 등
파워 포인트
중
프리젠테이션 파일 작성
워드
상
문서 작성 및 편집
-4-
이력서
경력사항
기관 및 단체명
블레이드 러너
활동기간
2011.03 ~ 현재
주요활동 내용
어그레시브 인라인 스케이트를 타는
학교 내 중앙 동아리
IT기사, 자유 주제 기사를 SK텔레콤 공식
sk텔레콤 캠퍼스리포터
2013.03 - 2013.09
블로그 포스팅, 행사 취재, 프로모션 방법
도출 등
경기관광 SNS 홍보대
사
2013.03 - 2013.12
푸른학원
2011.09 - 2012.03
한스델리
2010.05 - 현재
-5-
경기도 내 관광지 홍보 및 체험,
프로모션 방법 도출 등
보습 학원 보조 강사 아르바이트
레스토랑 서빙 아르바이트
자기소개
서
자기소개
온화하시며 가족에 대한 책임감이 강하신 아버지와 강인한 여
성상을 지니신 어머니 아래 외동딸로 태어났습니다. 제가 어렸
을 때부터 두 분 다 바쁘셨지만, 시간이 되실 때마다 저에 대한
충고와 격려를 아끼지 않으셨으며 적극적인 지지를 해 주셨습니
다. 부모님께서는 자신의 일은 혼자 힘으로 해결하는 것이며 인
생 또한 스스로 개척하는 것이라는 것을 강조 하셨습니다. 그래
서 어릴 때부터 어떠한 과제나 문제가 생겼을 때 부모님의 도움
을 받기보다는 혼자 해결 하도록 노력하였습니다. 이를 바탕으
로 저는 자립심과 자신감을 기를 수 있었습니다. 제가 고등학교
진학을 앞두고 있던 해, 대학생이 되기 전의 해에도 부모님께서
는 제 의견을 들어주고 후의 진로를 제 선택에 맡겨주셨고 믿어
주셨습니다. 이러한 과정을 통해 저는 다시 한 번 인생에 대한
책임감을 키우게 되었으며 적극적이고 도전적인 사람이 될 수
있었습니다.
-6-
자기소개
서
장점 & 단점
저의 장점은 활발하고 사교성이 뛰어나다는 것입니다. 인간관
계를 중요시하는 성격이기 때문에 사람 대 사람에서 생기는 가
치를 소중히 여기며 물질적인 가치보다는 정신적인 가치를 추구
합니다. 또한 초, 중, 고 내내 학급 임원을 해왔을 정도로 리더십
이 강한편이며 다른 사람과 의견이 대립되는 상황에서도 무조건
내 주장만을 내세우는 것이 아닌, 다른 사람의 의견을 경청하고
수렴하여 결과를 내는 성격입니다.
반면에 저의 단점은 성격이 급하다는 것입니다. 어떤 일이 생
기면 당장 해결해야 하고 서두르며 걱정하는 편입니다. 그래서
어떠한 일을 신속하게 해결하려고 하다 보니 가끔 실수를 할 경
우가 생깁니다. 저는 이 단점을 파악하고, 고치기 위해 여러 번
생각하고 행동 하도록 노력하고 있습니다. 이 단점을 장점으로
승화시키기 위해 앞으로도 제 자신에 대해 신중하고 절제할 것
입니다.
-7-
자기소개
서
대학 생활
학교 중앙동아리 블레이드러너라는 어그레시브 스케이트 동아
리를 3년째 해오고 있습니다. 동아리 활동으로 인하여 많은 선
배, 동기, 후배들을 만났습니다. 선배 분들께는 사회생활 이야기
를 많이 듣고 배웠으며, 동기들과는 함께 여러 가지 일을 하며
협동심을 배웠고, 후배들에게 편한 선배가 되도록 노력하고 있
습니다. 동아리 팀원들과 함께 홍대 길거리 공연, 학교 축제 등
많은 프로그램을 해왔습니다. 이러한 프로그램들 또한 저에게
협동심을 길러 주었습니다. 동아리 생활은 집단생활의 장점을
몸소 알려준 경험입니다. 사공이 많으면 배가 산으로 간다는 말
이 있습니다. 그러나 저희 동아리는 오히려 많은 사공들이 서로
의견을 공유하고 상부상조하여 성장 할 수 있었습니다. 또한 평
소 운동에 관심이 없던 제가 이 활동으로 인하여 운동에 관심이
생겼고, 접하기 생소한 어그레시브 스케이트라는 것을 배움으로
써 또 하나의 인생에서의 값진 경험과 성취감을 가지게 되었습
니다.
-8-
자기소개
서
앞으로의 계획
현재 휴학 중인 저에게는 취업 전 까지 2013년, 2014년이라는
2년이 남아있습니다. 올해 2013년은 학기 중에는 할 수 없는 것
들을 꼭 하겠다는 목표를 가지고 있습니다. 우선 꼭 가보고 싶었
던 유럽여행을 올 7월로 확정하였습니다. 제가 직접 아르바이트
를 해서 가는 것이라 더욱 기대하고 있습니다. 또한 그 동안 해
보지 못했던 대외활동을 통해서 제 시야를 더 넓히고 많은 사람
들을 만날 것 입니다. 또한 부족한 어학점수를 채우고 전공관련
공부도 소홀히 하지 않고 꾸준히 하여 많은 it 자격증을 딸 계획
입니다. 4학년으로 복학하는 2014년 내년은, 그 어느 때 보다 학
과공부에 충실하여 전공 실무능력과, 이론능력을 더욱 채우고
취업준비 또한 열심히 할 계획입니다. 특히 적성검사에 약한 저
이기 때문에 적성검사도 더 열심히 준비할 것입니다. 이렇게 꾸
준히 노력하여 남은 2년을 알차게 보낸 후 꼭 원하는 기업에 입
사할 것입니다.
-9-
Who am I ?
유지향
“모든 일에 최선을 다하
자”
10년 부천 상동고등학교 졸업
10년 광운대학교 컴퓨터공학군 입학
13년 광운대학교 컴퓨터공학과 4학년 휴학
중
-10-
Who am I ?
Strength
▶강한 리더십
▶ 확실한 경제관념
▶ 학점에 대한 욕심
▶ 뛰어난 분석력
▶ 열심히 하겠단 의지
▶ 컴퓨터활용능력이 높음
Opportunity
Weakness
▶ 급한 성격
▶ 약한 체력
SWOT
분석
▶ 넓은 인맥
▶ 학생 신분
▶ 스펙보다는 실무 능력
중시
Threat
▶ 증가하는 취업난
▶ 실패에 대한 두려움
▶ 많은 능력자들의 출
현
-11-
Who am I ?
SWOT
전략도
출
o
s
-뛰어난 분석력을 바탕으로
학생을 대상으로 하는 공모
전 및 대외활동에 적극 참
여한다.
-넓은 인맥을 통해 사람들
과 전공관련 정보를 공유한
다.
-실패에 대한 두려움을 열
T
심히 하겠단 의지로 극복한
다.
-많은 능력자들의 출현에도
불구하고 전공공부를 더 열
심히 하여 남들보다 뛰어난
학점을 만든다.
-12-
w
-많은 사람들에게 급한 성
격을 고칠 수 있는 조언을
얻는다.
-상대적으로 시간이 많은
학생신분을 이용해 운동으
로 체력을 키운다.
-급한 성격을 역으로 이용
해 취업에 대해 미리미리
준비한다.
Who am I ?
장점을 더욱 키우
기!
활발함
단점을 장점으로
승화시키기 위해
노력하기!
사교성
리더십
조심성
천천히
상대 존
중
한번 더
생각하기
-13-
Who am I ?
어학성적
TOEIC : 770
TOEIC SPEAKING : LEVEL 5
-14-
Who am I ?
MBIT검사
ESTP
수완 좋은 활동가
형
사실적이고 관대하며, 개방적이고
사람이나 일에 대한 선입관이 별
로 없다. 강한 현실감각으로 타협
안을 모색하고 문제를 해결하는
능력이 뛰어나다. 적응을 잘하고
친구를 좋아하며 긴 설명을 싫어
하고, 운동, 음식, 다양한 활동 등
주로 오관으로 보고, 듣고, 만질
수 있는 생활의 모든 것을 즐기는
형이다. 순발력이 뛰어나며 많은
사실들을 쉽게 기억하고, 예술적
인 멋과 판단력을 지니고 있으며,
연장이나 재료들을 다루는데 능숙
하다. 논리 분석적으로 일을 처리
하고, 추상적인 아이디어나 개념
에 대해 별로 흥미가 없다.
-15-
Who am I ?
워드프
로세서
1급
OCJ
P
자격증
정보처
리
기사
-16-
컴퓨터 관련
자격증!
Who am I ?
잊지 못할, 또 다시 해낼
두 번의 장학금!
-17-
Who am I ?
교내 외 활동
블레이드 러
너
어그레시브 인라인 스케이
트를 타는 교내 중앙 동아
리 활동!
-18-
Who am I ?
교내 외 활동
SK텔레콤 캠퍼스리포터
대학생 리포터가 되어 기사도
쓰고 각종 행사 참여, 아이디어
회의 및
-19-
Who am I ?
교내 외 활동
경기관광 SNS홍보대사
내가 살고 있는 경기도를 활성
화
시키기 위한 아이디어 도출 및
경기도 여행을 다니는 대외활동!
-20-
Who am I ?
교내 외 활동
아르바이트 경
험
학원 보조 강사 ▶ 약 6개월
레스토랑 서빙 ▶ 약 3년
-21-
Part 2
“목표 기업 분석
"
-22-
목표기업
왜 삼성전자 인
항상가?
1등이고 싶은 나!
국내 1등 기업에 입사해
1등 SW개발자가 되고
싶어!
-23-
목표기업
“ 국내 100대 기업 순위 중 1위! ”
-24-
기업분석
삼성전자
▪ 회사이름 : 삼성전자 주식회사
▪ 설립 : 1989년 설립
▪ 2013년 1분기 매출 : 52.87조원
▪ 직원 : 총161,700명
(국내84,500명)
▪ CEO : 대표이사 부회장 권오현
(대표이사 부회장 권오현)
▪디스플레이 부분 세계 1위, 전자 업 부분 매출
2위
-25-
기업분석
경영이
념
인재제일
생경영
최고지향
변화선도
정도경영
상
인재와 기술을 바탕으로 최고의 제품과 서비스를 창출하여 인류사회
에 공헌.
VISION
“Inspire the World, Create the Future”
-26-
기업분석
핵심기술
메모리반도체
디스플레이
무선통신
-1974년 한국 반도체의
지분을 인수하면서 시작
-1980년 삼성반도체 출
범
-1992년 일본의 도시바
를 제치고 메모리 부분 1
위 메이커 달성
-1990년대 이르러 일본
기업들은 삼성과 경쟁 포
기
-1992년 현 삼성SDI의
LCD사업을 가져오면서
핵심역량구축
-1983년 휴대전화사업시
작
-CDMA 세계최초 상용화
-반도체부분의 뒷받침으
로 급성장
반도체30%+디스플레이30%+무선통신30%+생활가전
10%
-27-
기업관련 기사
“엄청난 기술의 발전을 이뤄내고 있는 기
업!”
-28-
관심 분야 일치 분석
-29-
관심 분야 일치 분석
출처 – 디지털 타임스
“SW인재 양성에 주력할 것이다!”
-30-
관심 분야 일치 분석
“SW개발자가 될 거야!”
유지향(23) 컴퓨터공학
과
“SW인력 양성에 주력!”
삼성전
자
공통점 : SW개발에 대한 관심
-31-
Part 3
“나의 역량 분석
"
-32-
전공이수과
목
2학년 1학기
2학년 2학기
▶고급프로그래밍 실습
▶ 컴퓨터공학기초설계및실
험2
▶ 고급프로그래밍 설계
▶ 디지털논리회로2
▶ 컴퓨터공학기초설계 및 실
험1
▶ 어셈블리 프로그램설계및
실습
▶ 디지털논리회로1
▶ 데이터구조설계
▶ 회로이론
-33-
▶ 데이터구조실습
전공이수과
목
3학년 1학기
3학년 2학기
▶ 컴퓨터구조
▶ 데이터통신설계
▶ 컴퓨터구조실험
▶ 컴퓨터 공학 특론
▶ 컴퓨터네트워크
▶ 운영체제
▶ 시스템프로그래밍
▶ 운영체제실습
-34-
성적분석
2학
년
성적 분포도
20%
20%
20%
40%
A+
A
B+
B
2학년 전공 평균학점 :
3.7
▶
▶
▶
B
▶
▶
고급프로그래밍 실습 : A
고급프로그래밍 설계 : A
컴퓨터공학기초설계 및 실험1 :
▶ 컴퓨터공학 기초 설계 및 실험2 : A+
▶ 디지털논리회로2 : A+
▶ 어셈블리 프로그램 설계 및 실습 :
B+
▶ 데이터구조설계 : B+
▶ 데이터구조실습 : B+
디지털논리회로1 : B
회로이론 : B+
-35-
성적분석
3학
년
성적 분포도
20%
20%
20%
40%
A
B+
B
C+
3학년 전공 평균학점 :
3.5
▶
▶
▶
▶
컴퓨터구조 : A
컴퓨터구조실험 : A
컴퓨터네트워크 : C+
시스템프로그래밍 : B
▶
▶
▶
▶
-36-
데이터통신설계 : A
컴퓨터 공학 특론 : B+
운영체제 : B+
운영체제실습 : B+
성적분석
성적 분석 결
과
2, 3학년 전공 평균학점 :
3.6
앞의 두 성적 그래프를 바탕으로 분석해 보면
상대적으로 네트워크 부분이 취약하므로
네트워크 쪽으로 더욱 보완을 해야 할 것이며,
전체적인 전공과목의 학점 상승이 필히 요구된다.
A+의 과목이 상대적으로 적기 때문에 4학년 때는
A+을 목표로 체계적인 계획을 가지고 공부 할 것이고
4학년 때 배우게 되는 과목에 대한 정보를 미리
알아보고 선행학습을 해야 할 것이다.
-37-
전공 과목 소개 및 수행 프로젝트
-1
1.컴퓨터공학 기초 설계 및 실험
1
선형소자, 회로 성분들을 직.병렬로 구성한 각종 회로의 특성
을 분석, 다양한 회로 해석법 등에 대해서 학습한다. 디지털의
개념과 부울 대수학의 기초를 배우며, 게이트 회로 및 논리회
로의 구성방법, 플립 플롭, 레지스터, 계수기 및 연산기 등을
공부한다. 디지털의 원리를 실험 및 설계를 통하여 이해한다.
▼ 수행 프로젝트▼
브레드보드를 이용한 조합회로 구현, 논리회로 구현, 디지털 시계
구현 등
-38-
전공 과목 소개 및 수행 프로젝트
-1
디지털 시계 구현
1)실험 회로도 분석
첫 번째 초에 들어갈 입력 A는 클
럭펄스 1hz 로 연결한다. 나머지
부분은 7490의 연결대로 10진 카
운터를 구성한다. 10진 카운터로
0부터 9까지 출력이되고 9에서 0
으로 되도록 한다. 그리고 9에서
0이 될 때의 선을 다음 부분인 초
의 10의 자리 수를 출력하는 12진
카운터로 옮긴다. 시간 경우에는
12시까지만 출력이 되게끔 해야
하기 때문에 10진 카운터로 0부터
9까지의 숫자를 1의 자리 수로 맞
춰준다. 여기서는 NAND 게이트를
사용한다. NAND를 이용하여 2부
터 11까지의 출력을 제한시키고
카운터 Carry를 받을 때 +1이 되
도록 회로를 연결하면 작동이 되
-39- 는걸 확인 할 수 있다.
전공 과목 소개 및 수행 프로젝트
-1
디지털 시계 구현
2)프로젝트 준비
7447 TTL은 사용자로부터
의 입력을 받아 올바른 연결
을 통해 세그먼트의 출력을
돕는다.
7490 TTL은 앞의 동기 계수
회로에서 확인하였듯이
BCD 10진 동기계수회로의
역할을 한다.
7492 TTL은 6진 카운터이다.
-40-
7410 TTL은 각 자리 수의
제한적인 숫자 출력을 위해
필요한(즉, 숫자의 순환을
나타내기 위해 필요한) TTL
이다.
전공 과목 소개 및 수행 프로젝트
-1
디지털 시계 구현
3)프로젝트 실험 결
과
<‘초’에서 ‘분’으로 넘어가는 시점. 11시 30분
56초>
-41-
실험의 타당성은 초
에서 59에서 60초로
넘어갈 때 분이 1분
씩 오르는 것, 또한
분이 59분에서 60으
로 될 때 분 세그먼
트는 0으로 바뀌고
시간이 1시간씩 오르
는 것, 시간은 12시
간까지 오르는 것으
로 확인 할 수 있었
다.
전공 과목 소개 및 수행 프로젝트
-2
2.컴퓨터공학 기초 설계 및 실험
2
컴퓨터 공학 기초 설계 및 실험1에서 브레드 보드를 이용해 구
현하엿던 것들을 전자 회로 및 시스템에 사용되는 하드웨어 기
술 언어인 베릴로그로 구현한다. 베릴로그 언어는 회로 설계,
검증, 구현 등 여러 용도로 사용 가능하다.
▼ 수행 프로젝트▼
베릴로그를 이용한 조합회로 구현, 논리회로 구현, FSM 구현 등
-42-
전공 과목 소개 및 수행 프로젝트
-2
Traffic light controller with Left Turn 구현
1) 구조 분석
Traffic light controller with left signals는 위의 그림을 바탕으로
설계하였다. 위의 그림은 moore machine FSM의 예제인데 Traffic
light controller와 마찬가지로 위의 그림처럼 설계하면 된다. 코드
를 구현 할 때는 flip-flop부분을 먼저 구현하고 combinational
logic을 구현하여 따로 설계해주는 것이 좋다.
-43-
전공 과목 소개 및 수행 프로젝트
-2
Traffic light controller with Left Turn 구현
2)FSM 다이어그램 & Output 진리표 & 부울
식
-44-
전공 과목 소개 및 수행 프로젝트
-2
Traffic light controller with Left Turn 구현
3)RTL VIEWER
직접 작성한 베릴로그 코드에 대하여 Traffic light controller with
Left Turn Signals가 어떻게 형성되는 것인지 보여주는 것.
-45-
전공 과목 소개 및 수행 프로젝트
-2
Traffic light controller with Left Turn 구현
4) Testbench 결과화면
나 자신이 베릴로그로 구현한 코드를 바탕으로 출력해 낸
Testbench이다. Testbench 출력 결과를 분석해 보면 기존에 설
계 되어있는 진리표에 맞게 신호등이 올바르게 동작 하고 있다는
것을 알 수 있다.
-46-
전공 과목 소개 및 수행 프로젝트
-3
3. 어셈블리 프로그램 설계 및
실습
컴퓨터 구조에 대한 기본 지식을 바탕으로 현재 가장 많이 사
용되는 인텔 프로세서 및 MIPS, DSP를 이용하여 실제 응용 환
경을 공부하고 프로젝트 구현을 통해 실질적인 구현 능력을 배
양한다. 본 과목을 성공적으로 이수하였을 경우 어떤 임베디드
프로세서 시스템 또는 일반 컴퓨터 환경에서도 관련 하드웨어
및 소프트웨어의 연구/개발에 주도적으로 참여할 수 있다. 본
과목의 사전 기초지식으로 컴퓨터 프로그래밍 및 컴퓨터 구조
에 대한 지식이 요구된다.
▼ 수행 프로젝트▼
파일 입출력을 통한 프로그램 구현, 사용자 DB구축하기 등
-47-
전공 과목 소개 및 수행 프로젝트
-3
사용자 DB구축 프로젝트
1) 프로젝트 개요
이번 프로젝트는 100%로 어셈블리 언어를 이용하여 사용자 입
력 또는 파일 입력을 통해 사용자 정보를 받아 DB에 저장하는 것
이다. 그리고 이름으로 찾아서 출력하고, 이름, 전화번호, 가입날
짜 순으로 정렬을 해야 하며 화면/파일 출력을 동시에 수행하여
야 한다. 이름은 알파벳으로 4자리 전화번호는 010을 제외한 8
자리숫자, 가입날짜는 16진수로 받아 10진수로 바꾼 후 연월일
을 나누어 계산하여야 한다. DB는 구조체 배열을 사용하였다.
-48-
전공 과목 소개 및 수행 프로젝트
-3
사용자 DB구축 프로젝트
2)DB를 구성한 내부 블록도
구조체 배열을 이용해 data를 메모리에 할당하는 모습을 보
여주는 내부 블록도 이다.
-49-
전공 과목 소개 및 수행 프로젝트
-3
사용자 DB구축 프로젝트
3) 프로젝트 입, 출력 결과화면
기존 사용자의 DB파일이 존재하며, 1번 선택시 새로운 사용자의
데이터를 생성 할 수 있고, 2번은 검색, 3번은 프린트, 4번은 개발
자의 정보, 5번은 종료 기능을 수행한다. 이 프로젝트의 핵심은 구
조체 배열을 이용해 OFFSET의 위치를 제대로 넘기며 DB를 관리
할 수 있냐가 목적이었고 올바르게 실행되는 결과를 확인 할 수 있
었다.
-50-
전공 과목 소개 및 수행 프로젝트
-4
4. 디지털 논리 회로 2
디지털 논리 회로 2는 hardware description language(HDL)
를 이용한 디지털 논리회로 설계에 필요한 이론 및 HDL 코딩
능력을 배양하는 데 있다. 또한 전통적 설계기법을 창의적 설
계교육프로그램(TRIZ)에 따라 해석하고 이해하는 데 목적을
두고 있다.
▼ 수행 프로젝트▼
Fifo & Bus & Timer
-51-
전공 과목 소개 및 수행 프로젝트
-4
Fifo & Bus & Timer
1) 프로젝트 목표
-Verilog HDL을 이용하여 Top(FIFO & Timer & Bus)을 디자인
하고 검증 과정을 통해 그 동작 특성을 이해한다.
-코드를 구현하며 master와 slave의 관계와 동작 특성을 이해한
다.
-Top(FIFO & Timer & Bus)의 diagram을 그리고 State
transition을 작성 & 분석하면서 FSM 설계 능력을 키운다.
-52-
전공 과목 소개 및 수행 프로젝트
-4
Fifo & Bus & Timer
2) 회로도
- Schematic symbol of Synchronous FIFO
왼쪽 symbol은 synchronous FIFO이다.
FIFO란 First in First out memory queue
로 내부에 read 와 write 에 대한 pointer
를 관 리 하 는 control logic 이 있 어 서
status flags를 생성하고, user logic 과
interface 하기 위한 handshake signal
을 제공한다. 이것을 구현하기 위해 총 5
개의 state를 사용하였다.
-53-
전공 과목 소개 및 수행 프로젝트
-4
Fifo & Bus & Timer
2) 회로도
- Design of FIFO top
synchronous FIFO 4개를
instance해서 구현한다.
또한 FIFO Selector 와 2
개의 register로 구성되어
있다.
-54-
전공 과목 소개 및 수행 프로젝트
-4
Fifo & Bus & Timer
2) 회로도
- Schematic symbol of Synchronous BUS
Bus는 2개의 master와 2개의 slave를 가
지고 있다. Master는 data를 transfer하기
위하여 request후에 grant 신호를 받아야
사용할 수 있다. Slave 0는 0x10 ~ 0x1F
사이의 address를 자신의 memory map
영역으로 가진다. Slave 1은 0x20 ~ 0x2F
사이의 address를 자신의 memory map
영역으로 가진다.
-55-
전공 과목 소개 및 수행 프로젝트
-4
Fifo & Bus & Timer
2) 회로도
Timer 는 입력으로 들어오는
펄스를 특정 수만큼 count
하는 장치로써, count 명령
을 받 으 면 내 부 load
address register 에 저장된
값을 address 로 하여 해당
위치의 값을 읽은 후, 해당
값만큼 count 를 수행한다.
이 후 count 가 끝 나 면
interrupt 를 발 생 시 킴 으 로
count 가 끝났음을 통지한다.
- Design of timer
-56-
전공 과목 소개 및 수행 프로젝트
-4
Fifo & Bus & Timer
2) 회로도
- Design of TOP
TOP MODULE은 BUS,
FIFO TOP, TIMER
TOP 을 INSTANCE 만
해주면 된다. 모듈 안
에서는 와이어들을 선
언하여 각각의 모듈들
을 연결해주고 입,출력
을 올바르게 연결, 선
언 해주면 된다.
-57-
전공 과목 소개 및 수행 프로젝트
-4
Fifo & Bus & Timer
3) RTL VIEWER
- TOP
직접 작성한 베릴로그 코드에 Fifo & Bus & Timer가 형성한 모듈을 보여 주
는 것.
-58-
전공 과목 소개 및 수행 프로젝트
-4
Fifo & Bus & Timer
4) Testbench 결과화면
- TOP
Reset이 0인 경우는 아무런 작동을 하지 않으며, Reset이 1일 때, 각 경우의
별로 올바르게 동작하는 것을 확인 할 수 있었다.
-59-
전공 과목 소개 및 수행 프로젝트
-5
5. 데이터 구조 설계
- 트리구조, heap, graph, 그리고 hashing등의 주요 데이터구
조와 이들과 연관된 알고리즘 디자인 및 복잡도 분석 테크닉을
습득하여 데이터 구조에 대한 구체적인 이해와 확고한 개념을
세우는데 목적을 둔다.
-데이터구조 클래스의 설계를 통하여 실제 프로그래밍에 대한
이해도 증진 및 실무 능력의 향상을 꾀한다.
▼ 수행 프로젝트▼
메모리 할당 관리시스템 구현, 틱택토 게임 구현 등
-60-
전공 과목 소개 및 수행 프로젝트
-5
메모리 할당 관리 시스템 구현
1) 프로젝트 개요
이번 프로젝트는 메모리할당 관리 시스템을 구성 하는 것이다.
여기에서 나오는 자료구조는 Linked list와 max leftist tree이다.
List는 기존의 Linked List와 같은 방식으로 구현하기 때문에 고
급프로그래밍 시간에 배웠던 것을 사용한다. 그렇기 때문에 복습
의 효과도 기대 할 수 있다. 또한 이번 프로젝트에서 Linked List
를 이용한 링크연결이 메모리 사이즈 순으로 이루어 져야 하기
때문에 Linked List 응용능력을 키울 수 있다. 또 다른 자료구조
는 max Leftist Tree이다. 데이터구조설계시간에 익힌 이론을 가
지고 실제로 구현에 적용해보고 응용할 수 있다.
-61-
전공 과목 소개 및 수행 프로젝트
-5
메모리 할당 관리 시스템 구현
2) Input file & output file
입력파일 “scenario.in"을 보면
첫번째 줄의 500이라는 숫자는
프로그램 최대의 메모리를 500으
로 한정하는 것이다. A명령어는
메모리를 할당한다는 의미이고
그 옆의 숫자는 메모리의 인덱스,
맨 마지막 숫자는 메모리 할당량
이다. F는 메모리를 해제 한다는
뜻이고 그 옆의 숫자는 메모리의
인덱스이다. S는 출력 명령어이
다. 오른쪽은 직접 작성한 C++코
드를 바탕으로 출력해낸 Output
file이다.
-62-
전공 과목 소개 및 수행 프로젝트
-5
메모리 할당 관리 시스템 구현
3) Flow chart
먼저 input file과 output file을 연다.
프로젝트를 컴퓨터로 가정하면, 이 컴
퓨터의 최대 메모리를 File로부터 파싱
받고 command에 맞는 함수로 간다.
만약 'A'입력이 들어온다면 memory 사
이즈를 비교하여서 할당하거나 병합하
거나 둘 중 하나의 과정을 수행한다.
'F'의 입력이 들어온다면 말 그대로
Free=Delete를 해주는 것이므로 링크
드 리스트에 가서 그 노드의 인덱스와
플래그를 바꾸고 사용 가능한 메모리
이므로 트리에 연결해준다. 'S'입력이
들어왔을 경우 Print를 해주면 된다. 만
약 파일이 끝난다면 File class의 소멸
자를 불러와 열어져 있는 input file과
output file을 닫고 프로그램이 종료하
-63게
된다.
전공 과목 소개 및 수행 프로젝트
-5
메모리 할당 관리 시스템 구현
4) diagram
각 class 이름과 구조를 대
략적으로 나타낸 diagram
이다. 총 5개의 클래스가
있다. node sharing방식으
로 구현했기 때문에 노드
클래스는 leftist Tree Node
하나가 존재한다. List 클래
스는 연결 클래스이고
leftist Tree가 tree 클래스
이다. File클래스는 파일입
출력을 도와주는 클래스이
며 allocate 클래스는 관리
클래스로 구현하였다.
-64-
전공 과목 소개 및 수행 프로젝트
-5
메모리 할당 관리 시스템 구현
5) 결과화면
C++로 구현한 메모리 할당 관리
시스템 코드를 리눅스 상에서 구현
한 결과이다. 입력 파일을 넣은 것
을 바탕으로 메모리 할당을 출력해
주는 결과 화면 이다.
-65-
전공 과목 소개 및 수행 프로젝트
-6
6. 컴퓨터 구조 실험
컴퓨터 내부 구조 및 관련 알고리즘에 대한 지식을 갖추도록 하
기 위함이며, 이는 컴퓨터를 응용하기 위해서도 필수적인 과정
이다. 본 과정은 프로젝트에 대한 비중을 높여 이론적인 내용을
직접 설계에 적용하여야 하는 만큼 많은 시간 및 노력의 투자를
요구한다. 본 과정의 이수 결과로 기대되는 사항은 컴퓨터 내부
구조의 이해, 컴퓨터 프로그래밍 환경의 이해 및 응용, 컴퓨터
응용에 대한 지식 확보, 프로젝트를 통한 하드웨어 설계 개념
습득 및 실습, 등이라고 할 수 있다.
▼ 수행 프로젝트▼
싱글사이클 cpu 구현, 멀티사이클 cpu 구현, 파이프라인 cpu 구
현등
-66-
전공 과목 소개 및 수행 프로젝트
-6
Pipeline CPU
1) 프로젝트 개요
-67-
Pipelining은 여러 개의
instruction이 동시에 실행되는
기술의 구현이다. Pipelining은
연속되는 instruction 흐름에서
instruction 사이에 평행을 가능
하게 한 기술이다. 이것은 다른
빠르게 수행하는 기술과 다르게,
본질적으로 프로그래머에게 보이
지 않는 실질적인 장점을 가지고
있다. Pipeline CPU에는 IF, ID,
EX, MEM, WB 총 5개의 명령어
처리가 있다. 각 단계는 CPU의
서로 다른 부분에서 담당하고 있
으며, 이것은 빠르고 효율적으로
명령어를 처리하기 위한 방안이
다. 이번 프로젝트는 베릴로그로
이러한 pipeline을 구현하는 것이
다.
전공 과목 소개 및 수행 프로젝트
-6
Pipeline CPU
2) Design of modules
위의 pipeline design을 바탕으로 코드를 구현하
였다.
-68-
전공 과목 소개 및 수행 프로젝트
-6
Pipeline CPU
3) Input file
검증에 사용된 assembly code와 machine code이다. Instruction의
순서를 고려하여 hazard가 발생하지 않게 구성하였다.
-69-
전공 과목 소개 및 수행 프로젝트
-6
Pipeline CPU
4) Testbench 결과화면
위의 waveform을 간추려 설명하면 load word와 store word에서는 output
에 immediate value가 저장되며, 파이프라인의 기능인 동시에 처리하는 기
능이 올바르게 작동 되는 것을 볼 수 있다.
-70-
전공 과목 소개 및 수행 프로젝트
-7
7. 운영체제 실습
운영체제 학습 목적, 운영체제 정의 및 구조의 이해, 운영체제
구조의 이해를 통한 대용량 프로그래밍 설계 및 개발 능력 배양,
다중 프로세스 및 쓰레드 프로그래밍 이해를 통한 효율적으로
CPU 자원을 사용할 수 있는 소프트웨어 설계 및 개발 능력 배
양, 프로세스와 메모리 시스템 관계 이해, 메모리 시스템의 시
스템 성능에 미치는 영향 이해, 저장 장치의 내부 이해 및 저장
장치의 시스템 성능에 미치는 영향 이해, 리눅스 사례 연구를
통한 실생활의 컴퓨터 시스템의 효율적인 운영 능력 배양을 목
표로 한다.
▼ 수행 프로젝트▼
세마포어 구현, 문맥교환 정보 출력, I/O Zone을 이용한 성능 테
스트 등
-71-
전공 과목 소개 및 수행 프로젝트
-7
세마포어를 이용한 행렬구현
1) 프로젝트 개요
이 프 로 젝 트 는 32*32
matrix 곱을 순차적으로 수
행한 후 측정한 시간과 같
은 32*32 matrix를 동기화
하여 측정한 시간을 출력
하는 프로그램을 작성하는
것이다. 세마포어를 사용
하여 리눅스 상에서 구현
하면 된다.
-72-
전공 과목 소개 및 수행 프로젝트
-7
세마포어를 이용한 행렬구현
2) Flow chart
기본적인 것은 위처럼 먼저 순차대로 계산하여 그 시간을 계산하고,
동기화하여 동시에 계산한다. 그리고 매트릭스들의 값이 같은지 확인
한다. 그 후 구한 계산 시간들을 메인에 출력하는 프로그램이다.
-73-
전공 과목 소개 및 수행 프로젝트
-7
세마포어를 이용한 행렬구현
3) 결과화면
단순 계산결과값과 Thread를 이용한 계산 시간은 확연히 차이
가 나는 것을 확인 할 수 있었다. Thread를 이용한 것이 시간이
더 단축 된다.
-74-
전공 과목 소개 및 수행 프로젝트
-8
8. 데이터 통신 설계
시스템간의 데이터통신을 가능하게 하는 기본 요소기술, 메커
니즘, 프로토콜 기술에 대한 기초적인 개념을 습득하고, 데이터
통신 및 통신망을 구성하는 기본적인 구성요소들에 대해 구체
적으로 배운다. 이를 통해 컴퓨터 통신의 위한 주요 기반 개념
들을 습득한다.
▼ 수행 프로젝트▼
CRC 오류 검출 프로그램 구현, Go - back - N 프로토콜 디자인
등
-75-
전공 과목 소개 및 수행 프로젝트
-8
CRC 오류 검출 프로그램 구현
1) 프로젝트 개요
이번 프로젝트의 목적은 CRC 에러 검출 프로그램을 작성 & 구
현하고 이해 하는 것이다. CRC는 Cyclic Redundancy
Check(순회 장황검사)의 줄임말로써, 데이터의 에러를 검출하
는 기능 중 하나이다. 구체적으로는 파일 데이터로부터 CRC코
드를 생성하여 데이터 후미에 붙이는 방식이다. 이 코드는 동일
한 파일로부터는 반드시 같은 코드가 생성되어, 1바이트라도 데
이터가 다르면 전혀 다른 코드가 생성되는 특징이 있다. 이것을
이용하여 먼저 파일을 읽어와 CRC코드를 얻고, 이것을 인코딩,
디코딩 하는 것이 이번 프로젝트이다.
-76-
전공 과목 소개 및 수행 프로젝트
-8
CRC 오류 검출 프로그램 구현
2) diagram
시스템 구조는 비교적 단순하다. 메인에는 디코더와 인코더함
수 두 개가 있고 각각 함수는 인풋 파일이름과 아웃풋 파일이름
을 인자로 가진다. 각각의 두 함수 모두 인풋파일에서 파일을
읽어와서 CRC를 구하고 아웃풋파일을 생성하는 기능을 한다.
-77-
전공 과목 소개 및 수행 프로젝트
-8
CRC 오류 검출 프로그램 구현
3) 함수 설명
1. unsigned short crc16_ccitt(const unsigned char *buf, int len);
2. void encoder(const char* InputFile, const char* OutputFile);
3. void decoder(const char* InputFile, const char* OutputFile);
위의 3개의 함수모두 CRC 클래스에 존재하는 함수이다. 이 3가지만
이용하여 이번 프로젝트를 구현 하였다. 먼저 1)번 함수는 CRC를 얻
는 함수이다. 레지스터를 3개 선언하고 그 변수들을 이용하여 CRC값
을 얻는다. 이 함수의 리턴 값이 CRC이다. 2)번 함수는 인코더 함수이
다. 인코더 함수는 파일을 읽어서 1번 함수를 이용해 CRC값을 얻는다.
CRC값 중에서도 UPPER CRC와 LOWER CRC를 따로 구한다. 그래서
원본파일 뒤에 CRC를 붙여서 새로운 파일 하나를 생성한다. 3)번 함
수는 디코더 함수이다. 디코더 함수는 2)번 함수를 통해 생성된 아웃풋
파일이 인풋파일로 들어온다. 다시 1)번 함수를 이용해 CRC를 구하고
e_result.txt와 비교하여 검사한다. 만약 e_result.txt파일의 값이 바뀐
것이 있다면 error 메세지를 출력한다.
-78-
전공 과목 소개 및 수행 프로젝트
-8
CRC 오류 검출 프로그램 구현
4) 결과 화면
인코딩을 수행한 후, 디코더를 수행하기 전에 기존 CRC결과 파
일의 값을 바꾸어 보았더니 에러메세지와 에러라인이 출력되었
다. 그러므로 CRC코드 프로그램이 올바르게 동작하는 것을 볼
수 있다.
-79-
Part 4
“향후 계획"
-80-
앞으로의 계획
1. 취업을 위해 준비할 것이 무엇인지 파악하기
자료 출처 – 대한상공 회의소
신규채용 시 가장 중시하는 요소
39.1
33.5
8.6
7.2
3.4
직무적성
인성
자격증여부
전공지식
외국어능력
-81-
1.4
신체조건
4.8
기타
2
학력
뉴스 출처 – 아시아 경제 뉴
스
앞으로의 계
획
2. 하고 싶은 일 파악하기
“하고 싶은 일과 취업 준비
봉사활동
유럽여행
자격증
차근차근 실천하기”
아르바이트
대외활동
-82-
유지향의 뇌 구
조
앞으로의 계
획
3. 실천하기
삼성직무적성 검사 “SSAT” 대
비
기업에서 가장 중요시 여기
는 것이 직무적성 인 것 만큼
목표하는 삼성전자 취업을 위
해서는 삼성 직무적성 검사인
SSAT에 대비하는 것이 가장
중요하다. 인터넷 SSAT 스터
디나, 책을 통해 꾸준히 공부
하고 준비하며 학교에서 시행
하는 모의 SSAT적성검사에 지
원해서 나의 위치를 파악하고
열심히 공부해야 할 것이다.
-83-
앞으로의 계
획
3. 실천하기
어학점수 취득
출처 – 아시아 경제 뉴스
삼성전자 지원 시 이공계는 OPIC TEST점수 IL이상 제출이 필수이다.
최소 점수를 내는 것 보다는 안정적인 점수를 얻는 것이 더욱 유리할 것
이기 때문에 IM3를 목표로 OPIC 테스트를 공부한다. 현재 OPIC 학원에
다니고 있으므로 부단히 노력하여 원하는 목표 점수에 도달하도록 한다.
-84-
앞으로의 계
획
3. 실천하기
유럽 배낭 여행
살면서 꼭 해보고 싶
었던 일 중 하나가 유
럽 배낭 여행이다. 사
회 생활을 시작하면
갈 기회가 없을 거라
생각되기 때문에 휴학
하는 올해, 어쩌면 인
생에서 처음이자
마지막이 될 수 있는 유럽여행을
가기로 결정했
다. 유럽으로 가는 비행기 티켓을 위해서 열심히
아르바이트도 해온 만큼 값진 경험을 하고 돌아
올 것 이다.
-85-
앞으로의 계
획
3. 실천하기
봉사활동 하기
휴학하고 하고 싶었던 일 중 또 하나가
바로 봉사활동 이다. 휴학하는 기간이 학
교를 다닐 때 보다 여유롭기 때문에 꼭 여
러 가지 봉사활동을 해볼 것이다. 현재는
국립도서관에서 책 정리 봉사를 하고 있는
데, 아이들을 가르치는 봉사활동도 해보고
싶고, 해외 봉사도 다녀올 것이다. 단지 국
내에만 치중된 봉사가 아니라 해외로 나가
서 다른 나라 사람들의 마음도 이해하고
그 나라의 생활을 느끼면서 함께 경험을
나누는 폭 넓은 경험을 해볼 것이다.
-86-
앞으로의 계
획
3. 실천하기
학점 올리기
2013년 1학기 기준을 바탕으로 4학
년 전공 과목을 찾아본 결과 4학년 전
공과목에는 7가지가 있다. 앞서 했던
성적 분석 결과, 네트워크에 상대적으
로 부족하기 때문에 4학년 때는 무선이
동네트워크를 수강하고 평소 S/W 개발
에 관심이 많기 때문에 임베디드 시스
템 S/W설계와 임베디드 소프트웨어 실
습은 꼭 수강할 것이다. 이 과목들에
(2013년 1학기 4학년 컴퓨터공학 전공 대한 선행 학습은 필수적으로 하도록
한다.
과목)
-87-
앞으로의 계
획
3. 실천하기
취업관련 정보 얻기
취업관련 인터넷 까페에 자주 들
어가 취업 관련 정보를 상시로 얻는
다. 또한 까페에 새로운 공지가 뜨면
핸드폰으로 전송되게 알람 설정을
해놓는다. 그러면 인턴 정보, 공채
정보, 직무 적성 검사 정보 등을 실
시간으로 받을 수 있다. 단순한 취업
정보 뿐만이 아닌 취업 상담도 가능
하여서 취업관련 모든 분야에서 조
언, 도움을 받을 수 있다.
-88-
앞으로의 계
획
3. 실천하기
인턴 경험
올해 상반기 삼성 인턴 모집
의 지원 자격은 2014년 2월 또
는 8월 졸업생 이었기 때문에
지원 자격에 충족하지 못하였
지만 올 하반기 또는 내년 상
반기에 도전하여서 꼭 취업 전
에 인턴 경험을 갖고 취업을
하고 싶다. 인턴을 하기 위해
서 앞서 언급하였던 SSAT준비
와, 자소서 준비, 전공 공부 등
에 열중 할 것이다.
-89-
적극적이고 긍정적인 사고를 가진,
자신감이 넘치고 진취적인,
다른 사람과 더불어 살 줄 아는
대한민국 1%의 무한 가능성
유지향입니다!
-90-
About
유지향
Thank you :)
-91-