Sammanfattning

Download Report

Transcript Sammanfattning

1
Digitalteknik, fortsättningskurs
2012
Föreläsning 16
Inför tentan
Sista föreläsningen
Övriga föreläsningstider denna vecka
Används till hjälp/stöd
1
Digitalteknik syntes
 Arne Linde 2012
2
Lärandemål
Efter fullgjord kurs ska studenten kunna
• Principer för en strukturerad och hierarkisk
beskrivning av mindre digitala system.
• Beskriva en mindre digital konstruktion i VHDL,
utföra simulering och syntes med moderna verktyg
samt utföra enklare tester mot målteknologin.
• Funktion, användning och begränsningar hos programmerbar logik.
• Känna till grunderna för konstruktion för testning,
och principerna bakom testning.
• Förstå kopplingen VHDL syntes maskinvara.
Digitalteknik syntes
2
 Arne Linde 2012
3
Färdighet och förmåga
•Förenkla en funktion av upp till 5 variabler med Karnaughdiagram.
•Förenkla en funktion av upp till 5 variabler med Quine-McCluskey.
•Realisering av kombinatoriska nät med grindar och med hjälp av VHDL.
•Kunna identifiera statiska och dynamiska hasarder samt eliminera dessa.
•Kunna använda målteknologierna FPGA och CPLD på ett effektivt sätt.
•Behärska binär aritmetik såsom ”Carry look ahead”,
multiplikation och BCD.
•Förstå och kunna använda sekvensnät av Mealy,
Moore och synkron Mealy typ.
•Koda ett sekvensnät med en för målteknologin optimal kodning
samt minimera antalet tillstånd.
•Kunna koda sekvensnät av Mealy, Moore och synkron Mealy typ i
VHDL och förstå dess tidsegenskaper.
•Kunna skapa enklare testbänkar för sina VHDL konstruktioner.
•Känna till och kunna implementera enklare asynkrona sekvensnät.
•Kunna identifiera cykler och kapplöpningar i asynkrona sekvensnät och
kunna eliminera dessa.
•Minimera ett asynkront sekvensnätet, ge det en kapplöpningsfri kodning.
Digitalteknik syntes
 Arne Linde 2012
3
4
Bred kurs - föreläsningar
1.
2.
3.
4.
5.
6.
7.
8.
9.
Introduktion
VHDL
Kombinatorik
Teknologier
VHDL (Labb 2)
Minneselement
Räknare & aretmetik
Sekvensnät
VHDL – 2 process metoden
10.
Tillståndsminimering
11.
Asynkrona sekvensnät 1
Asynkrona sekvensnät 2
Synkronisering - Testning
Design för test & Simulering
Konstruktion av ASIC
Sammanfattning
12.
13.
14.
15.
16.
4
Digitalteknik syntes
 Arne Linde 2012
5
Laborationer
1.
2.
3.
4.
VHDL , intro + kombinatoriska nät.
VHDL, konstruktion och syntes.
Synkrona sekvensnät (VHDL + test bänkar)
Hasarder och asynkrona sekvensnät.
(I VHDL)
Kursen – omfångsrik!
Huvuddelarna! (ca 30/50p)
• VHDL
• Synkrona sekvensnät
• Asynkrona sekvensnät
5
Digitalteknik syntes
 Arne Linde 2012
6
Måste behärska
Synkrona sekvensnät
• Koda snålt, one hot
• Kunna rita upp en tillståndsgraf • Kunna ta fram tidsdiagram och
• Känna till Mealy, Moore och
från tidsdiagram tillståndsgraf
synkron Mealy
• VHDL kopplingen.
• Kunna minimera
För överbetyg
Asynkrona sekvensnät
• Implementera hasard fritt
• Kunna rita upp en tillståndsgraf •Kunna ta fram tidsdiagram och
• Känna till Mealy och Moore
från tidsdiagram tillståndsgraf
• Kunna minimera
• Koda hasard fritt
Viktigt:
Förstå skillnaden mellan synkrona och asynkrona sekvensnät!6
Digitalteknik syntes
 Arne Linde 2012
7
Tentamina: struktur

1. Småfrågor ca 6-12p





2. Minimering mm, ca 6-10p





Teknologi val
Synkroniseringsfel
Små VHDL uppgifter
ASIC, FPGA, CPLD
Primimplekanter, Quine-McCluskey/Karnaughdiagram
K
Testvektorer
Mux:ar
Hasader
Q
3. VHDL ca 8-12p

Läsa, förstå, ändra. (
programmering)
OBS räcker inte med allmän förståelse för
7
Digitalteknik syntes
 Arne Linde 2012
8
Tentamina: struktur

4. Synkrona sekvensnät (konstruktion, kodning) 6-10p

Tillståndskodning, minimering, syntes
‘One-hot’ tillstånds tilldelning

Mealy, Moore och Synkron Mealy
Implementera grindar/VHDL


5. Minimering sekvensnät mm





asynkront sekvensnät
analys av Hassarder
Simulering
ASIC – transistorer mm
6. Konstruktion av asynkront sekvensnät, 6-12p


(4-8p)
timing
Poäng på tenta 50p godkänt 20p, 4:a 30p och 5:a 40p
Digitalteknik syntes
8
 Arne Linde 2012
9
Viktiga metoder ett kunna



Karnaughdiagram 3-5
variabler.
Karnaughdiagram
primimplikatorer

Quine-McCluskey

Tillståndskodning


Heuristiska metoder

’One-hot’
Asynkrona nät






Primitiv flödestabell
Hasarder
Kapplöpningsfri kod
Dont-care i tillståndsgraf
Asynkront realiserbart
Testvektorer

Okänt tillstånd X
Minimering



Successiv partitionering
Implekatortabell
Relationsgraf
Digitalteknik syntes
9
 Arne Linde 2012
10
Synkrona – Asynkrona sekvensnät

Rita tillståndsgraf


Tillstånds tabell


Minimera –
Implikator tabell
Relationsgraf,

eller stegvisa partitioneringar
Rita tillståndsgraf
(kvarhållande tillstånd)
Flödes tabell
 Primitiv flödestabell!
Minimera
Implikator tabell
Relationsgraf,
Krav!, komplex relationsgraf!

Koda – gärna ”onehot”


Ta fram ekvationerna

Koda binärt (ej ”onehot”)
lägg ut i boolesk hyperkub
Ta fram ekvationerna +
konsensustermer
10
Digitalteknik syntes
 Arne Linde 2012
11
Att göra

Lös uppgifter!!!!
(Övning, lab, tentor)



När ni inte kan använd
presentation/bok
 Går fortfarande inte
facit!
Stäm av att ni behärskar alla
metoderna
Viktigaste att ha med sig
från kursen:




VHDL
Synkronisering
Timing
Tentan testar
färdigheter, det räcker
inte att kunna måste
även ha visst tempo!

Så öva!
11
Digitalteknik syntes
 Arne Linde 2012
12

Datum






Tisdag 6/3 fm M 4 timmar
29/8 – em M
1x/1 - 2013
Granskning 17/4 (E4128, 11.45-12.30)
Granskning 19/4 (E4128, 11.45-12.00)
Laborationerna och övningsuppgifterna
kommer att vara en viktig inspirationskälla
för tentan
12
Digitalteknik syntes
 Arne Linde 2012
13
Tack för mig!


Onsdag 13.15 – 14 Frågestund HC4
Fredag 13.15-15 Frågestund HA4

Inlämning 3 senast torsdag.
(Inlämning  Godkänd).

Inlämning 4 helt struken.

Hoppas att jag får återse er!
Digital konstruktion EDA234 LP II
Kandidatarbete LP III – IV (ansvarig)
Examensarbeten.
13
Digitalteknik syntes
 Arne Linde 2012