Transcript Document

CHAPTER 3
CONTACT RESISTANCE,
SCHOTTKY BARRIERS,
AND ELECTROMIGRATION
1
3.2 Introduction
2




Ohmic contacts do not necessary to be linear.
Ohmic contacts should not inject minority carriers.
The contact should be able to supply sufficient
current and has negligible voltage drop.
The contacts should have reproducible properties.
3
3.2 METAL-SEMICONDUCTOR CONTACTS
4
METAL-SEMICONDUCTOR CONTACTS
Metal-semiconductor contacts according to the simple Schottky model.
The upper and lower parts of the figure show the metal-semiconductor
system before and after contact, respectively.
5
The barrier height after contact for this model is
given by
Vbi=φm-φs φB=Vbi+(φs-χ)
ψB is independent of semiconductor doping
density.
Accumulation contact is preferred Ohmic contact,
because of the lower barrier height.
6

φm> φsn
φm< φsp
Usually, φB =2Eg/3 for n-type substrate and
φB = Eg/3 for p-type substrate
7




Surface states may cause Fermi level pinning, φB is
independent of metals’ work function.
Ohmic contacts are defined as high recombination
rate regions.
Highly damaged regions may serve as Ohmic
contacts. However, highly damaged regions are not
reproducible.
Barrier height is relatively independent of doping
density but barrier width does. Heavily doped
semiconductors have narrow scr, tunneling current
can easily occur.
8
TE
TFE
FE
Depletion-type contacts to n-type substrates with increasing doping
concentration. The electron flow is schematically indicated by the
electrons and their arrows.
9
TE: carriers are thermally excited over the barrier.
TFE: carriers are thermally excited to an energy where
the barrier is narrow enough for tunneling to occur.
FE: the barrier is sufficiently narrow at the bottom of
conduction band such that carriers can tunnel
through directly.
10
Characristic energy Eoo and
kT as a function of doping
density for Si with m*tun/ m
=0.3. T = 300 K.
11
TE: kT<<E00, E00 ≦0.2kT.
TFE: kT≒ E00, 0.2kT< E00 <5kT.
FE: kT>> E00, E00 >5kT
12
Usually, only the region directly under the metal is heavily doped, the
real contact structure is
The contact resistance RC=Rm-s+ Rn+-n
If Rm-s dominates, then RC is independent of the n-region doping
concentration. If Rn+-n dominates, then RC is inversely depends on
the n-region doping concentration.
13
3.3 CONTACT RESISTANCE
14
Contact Resistance
15
A schematic diagram showing two contacts to a diffused
semiconductor layer , with the metal resistance, the contact
resistances, and the semiconductor resistance indicated.
16



RS is determined by the sheet resistance of the diffused
layer and the spreading resistance at the contact.
RC includes Rm-s, a portion of Rm immediately above the
contact, part of the semiconductor below the contact,
interfacial layer (oxide) between metal and
semiconductor, and current crowding effect.
The current density of a metal- semiconductor contact
depends on many parameters
17
Let ρc=M+ρi+S+current crowding effects, where
is used in deriving theoretical expressions.
The current density dominated by thermionic emission
is
where A=4πqk2m*/h3 is the Richardson’s constant.
18
C1 and C2 are functions of ND, T, and φB
N is the doping density under the contact
19
Specific contact resistivity as a function of doping density for Si.
20
The specific contact resistivity normalized toT = 305K, as a function of
temperature for (a) p-Si, (b) n-Si. The data for ND = 2 x 1018 cm-3
extend from T = 305 to 400 K only. The metal is tungsten .
21
3.4 MEASUREMENT TECHNIQUES
22


There are four contact resistance measurements:
 Two-contacts two-terminal method
 Multiple-contacts two-terminal method
 Four-terminal method Six-terminal method
All of the above methods measure ρc not ρi
23
Two-Terminal Contact Resistance Methods
(a) A vertical two-terminal
contact resistance structure,
(b) a lateral two-terminal
contact resistance structure.
24
Apply a current I and measure V gives RT = V/I
Where Rp is the probe resistance
25
for 2t>>r
where C is a correction factor,
for widely separated contacts, C=1.
If the current is vertically flow into the top contact,
then
For small Rs, Rcb, and Rp, Rc≒ RT-Rsp
Accurate result is obtained if Rsp<< Rc , which
implies that small radius contact should be used.
One may use contacts with different r, plot RT vs.
Ac, and ρc is proportional to the slope.
26
A lateral two-terminal
contact resistance
structure in cross
section and top view.
where Rd is the resistance due to current crowding under
the contacts, Rw is a contact width correction if Z<W.
27
A contact string test
structure ; cross
section and top view.
Usually, N is several hundred or more.
This method is used as a process monitor,
it is not useful for detailed evaluation.
28
Multiple Contact Resistance Methods
Multiple-contact, twoterminal contact
resistance test
structure. The
contact width and
length are Z and L
and the diffusion
width is W.
29




Rc is independent of ρs
d1 and d2 may be the source of inaccuracy.
What has been determined is Rc not ρc because
the contact area is not exactly known.
Only part of the contact length, about the diffused
layer thickness, is active for current transfer.
30
Current transfer from
semiconductor to metal
represented by the arrows. The
semiconductor / metal contact
may be represented by the ρc 一
ρs equivalent circuit with the
current choosing the path of
least resistance.
V(x) is the potential distribution under the contact, Z is
the contact width, LT is the 1/e distance.
31
Normalized potential under a contact versus x as function of ρc ,
where x = 0 is the contact edge. L = 10 μm, Z = 50μm,ρs = 10
Ω/square.
32
Transfer length as a
function of specific
contact resistivity and
semiconductor sheet
resistance.
A typical good contact has ρc ≦ 10-6Ω.cm2, which
corresponds to an LT of about 1μm.
33
The above transmission line methods (TLM) are also
called (a) the contact front resistance (CFR) structure,
(b) the contact end resistance (CER) structure, and (c)
the cross-bridge Kelvin resistance (CBKR) structure.
34
For Z=W, ρc>0.2ρst2, and V(0) measured at x=0
The above equation is not valid for Z<W.
Now, call Rcf as Rc, for L≦0.5LT, coth(L/LT)=LT/L, so
Ac,eff = LZ = Ac
for L≧1.5LT, coth(L/LT)=1, so
Ac,eff = LTZ < Ac
35
Front contact
resistance-contact width
product as a function of
contact length and
specific contact
resistivity for ρs = 20Ω/
square and
ρsm = 0.
RcZ reaches a minimum at about L = LT.
36
For CER structure, V is measured at x=L, and
ρc>0.2ρst2
For short contacts, L<LT, the accuracy is
determined by L.
For long contacts, Rce is very small, the accuracy
is limited by the instrument.
37
For CBKR structure, V is measured over the
contact length, thus V is the average value as
The contact resistance is
38



One dimensional current flow between contacts is
true only when L≦LT, Z>>L, and δ=(W-Z)/2<<Z.
The problem of W≠Z is avoided by using circular
structures.
No isolation for diffused or implanted layer is
necessary for circular structures, since the current
has no other path to flow.
39
Circular transmission
line geometry test
structure.
L
d
C
=
ln(
1

)
C For
d
L
40
(a)Correction factor C versus d/L ratio for the circular transmission line
method test structure, (b) total resistance for the circular TLM test
structure before and after data correction. Rc = 0.75Ω,LT= 2um,ρc =4 x
10-6 Ω·cm2, Rsh=110 ohms/square. Data courtesy of J.H. Klootwijk and
C.E. Timmering, Philips Research Labs.
41
Transfer length
method test
structures.
For L<<LT, current does not flow into the metal, in (a)
contacts 2 and 3 have no effect on the measurement.
For L>>LT, the current flows into the metal, in (b) the
contact is effectively two contacts with length LT plus
a metal conductor with length L-2LT.
42
A transfer length method test structure and a plot of function of contact
spacing, d. Typical values might be L = 50μm, W = 100μm, W-Z = 5 μm
( should be as small as possible ) , d =5 to 50μm.
43


The above method gives ρs (if Z is known), 2Rc,
and 2LT.
ρs under the contacts and between the contacts
may not be identical due to the contact formation.
Therefore, modify Rcf and RT as:
where ρsc is the sheet resistance under the
contact and LTc=(ρs/ ρsc)1/2
44



From the above equation, 2LTc is determined at
RT=0, but ρsc and ρc are still unknown.
However, if Rce is also measured, then ρsc and ρc
can be decided.
There is wafer level nonuniformity in these
measurements
45
If silicide or polysilicon is used rather than metal,
then conductor’s resistance must be counted in.
where α= ρsm/ ρsc, ρsm is the metal (polysilicon)
sheet resistance, and
LTcm = (ρc/ (ρsc+ ρsm))1/2 = LTc/(1+ α) ½
46
Front contact rsistance-contact
width product as a function of
contact length and specific contact
resistivity for ρc = 20 Ω/square and
ρsm = 50 Ω /square.
Note that there is a minimum for each curve.
47
The Correction of δ
Uncorrected (solid points and lines ) and corrected (open points
and dashed line ) total resistance versus spacing d for
Au/Ni/Au/Ge/n-GaAs contacts annealed at 4000C for
20s.Reprinted after ref.72 by permission of IEEE(2002,IEEE)
48
Four-Terminal Contact Resistance Method
A four-terminal or
Kelvin contact
resistance test
structure. (a) Cross
section through
section A-A, (b) top
view of the structure.
49
Four-terminal contact resistance test structures. (a) Ideal with only lateral
current flow, (b) and (c) current flowing into and around the contact. The
black area is the actual contact area. The measured ρc is higher than the
actual value for δ≠ 0, the introduced error is high for low ρc and high ρs.
50
Apparent contact resistance multiplied by the contact area versus
misalignment δ. The contact areas are given on the right side of the
figure.Under the contact:Arsensic implant,2 x 1015cm-2,50keV,annealed at
10000C,30s.Contact metal:Ti/TiN/A/lSi/Cu.Adapted from ref.80.
51
Dependence of contact resistance on misalignment dimensions L1 an
L2 ,Under the contact:Arsensic implant, 2 x 1015cm-2,50keV,annealed
at 10000C,30s.Contact metal:Ti/TiN/A/lSi/Cu.Adapted from ref.80.
52
(a) Modified Kelvin contact
resistance “tapped” test
structure and (b) resistance
versus tap spacing. After ref.
80.
53
Two-dimensional ( dashed ) and three-dimensional ( solid lines )
simulated apparent versus true specific contact resistivity for
various tap spacings δ. Reprinted after ref.79 by permission of
IEEE. ( 2004 IEEE )
54
Three-dimensional universal
correction curves for CKR
structures of Rk/Rsh versus L/δ as
a function of LT/δ for tap
depth/width ratios of
t/L=0.5.Reprinted after ref.79 by
permission of IEEE.(2004 IEEE)
55
Calculated apparent specific contact resistivity curves versus actual
specific contact resistivity for the structure in Fig . 3.25 (c), L = 20 μm,ρs
=24 Ω/square.
56
Calculated contact resistance curves normalized by the sheet resistance
for the structure in Fig. as function of L / δ and LT / δ.
57
Measured contact = Actual contact +
geometry dependent resistance
Multiply the above equation by contact
area gives:
58
(a) Geometry of the square contact, (b) Rk versus δ as a function of
specific contact resistivity; ρs = 20 Ω/square, L = 5 μ m . Rk at δ=0 is
the true contact resistance ρc/Ac. Large error occurs for ρc≦10-6.
59
A MOSFET contact resistance test structure. The semiconductor
sheet resistance is replaced with the inversion layer controlled by
the gate bias.
60
Vertical contact resistance Kelvin test structure. Rc=V24/I, ρc=RcAc.
A small lateral spreading occurs as shown in the figure, thus
V13 can be used to provide an average reading that may reduce
misalignment error.
61
Six-Terminal Contact Resistance Method
Six-terminal Kelvin structure for the determination of
Rc=V24/I13, Rce=V54/I13, Rcf, calculated, and ρsc.
62
Historic progression of ohmic contacts in Si technology; (a) Al / Si,(b)
AI / 1-2 % Si, (c) Al / silicide / Si , (d) Al / barrier layer / silicide / Si . 63
3.5 SCHOTTKY BARRIER HEIGHT
64
Schottky Barrier Height
Schottky barrier energy band diagram.
65
66
67
Current-Voltage (I-V)
Two ways of
plotting currentvoltage for a
Schottky diode.
68
(a)Current-voltage characteristics
of a Cr/n-Si diode as deposited and
annealed at 4600C measured at
room temperature, (b) enlarged
portion of (a).Courtesy of
F.Hossain,Arizona State University.
69
Log(J) versus V for an AI / n-InP Schottky barrier diode.
Data from Ref. 95.
70
Current-Temperature (I-T)
Richardson plot of the diode of Fig for V = 0 . 3 V. Data from Ref. 95.71
The barrier height is given by
With this temperature dependence,
72
Capacitance-Voltage (C-V)
“+” for p-Si and “–” for n-Si. kT/q is omitted in the
depletion approximation.
Plot 1/(C/A)2 vs. V, the intercept on V is Vi=-Vbi+kT/q
73
Reverse-bias 1/C2 versus voltage of the “No Anneal” diode in
Fig-3.38 measured at room temperature.
74
(C/ A)-2 -V plot of the diode of Fig. NA = 3.8 X 1017 cm-3. Data from Ref.95.
75
Photocurrent (PC)
For ψB<hν<Eg, Y=photo current / absorbed
photon flux
If the curve is non linear, we can differentiate it
76
Fowler plot and dY/d(hν) plot of a Pt / GaAs Schottky barrier diode.
77
Comparison of method





Damage at the interface, defects as recombination
centers, or trap assisted tunneling affect the I-V
properties, they raises n and lowers ψB.
Defects can change scr width hence affects C-V.
PC is most reliable wrt defects.
If two Schottky diodes of different barrier height are in
parallel, the lower barrier height dominates the I-V, the
larger contact area dominates the C-V.
C-V and PC are preferred over I-V and I-T.
78


I-V and C-V probe from the semiconductor side, they
are sensitive to surface, defect, and bulk
inhomogeneities. PC probes from the outside of the
semiconductor, therefore, it is more stable.
For GaAs, ψB (I-V)<ψB (PC)< ψB (C-V)
For p-InP, ψB (I-T)<ψB (C-V)
For Si, ψB (I-V) ≒ψB (PC)< ψB (C-V)
79
3.6 ELECTROMIGRATION
80
Electromigration
A polycrystalline line showing grains, grain boundaries, and triple points.
Also shown is a bamboo line.
81
82
Electromigration test structure are, 1, 2, 7, 8; 10, 3, 6, 14; 9, 10,
15, 16. 2, 3, 10, 11 measures the sheet resistance of the line.
83
Electromigration data representation;
(a) median time to failure ,(b)
activation energy, (c) n factor
determination.
84
85
Standard wafer-level electromigration acceleration test ( SWEAT ) structure.
86
87