Transcript 10 進制

數位邏輯(含實習)奪分寶典
第二章
數字系統
數值的通式
通式1(一般式)
範例1
9168.274(10)
範例2
3265.41(8)
通式2(加權式)
範例1
9168.27(10) =
範例2
3265.41(8) =
P2-3 表2-1-1
數值的通式
代號說明
代
號
說
明
解釋名詞
P2-3 表2-1-1
範例(以 9168.27(10) 為例)

M
所要表示的數值
9168.27

r
底數
10進制以10為底

N
最高位的位數
9168(10) 的n = 3(n

最高位數的加權值 10
=1000

a
每位數的數值
介於0到9之間

a10
最高有效數字
(MSD)
9168.27

a-m
最低有效數字
(LSD)
9168.27
從0開始計算)
各種進制表示法
進
制
字尾表示記號
10進制
D
(Decimal)
(10)
可使用的
數值範圍
B
(Binary)
(2)
8進制
O
(Octal)
16進制
(8)
H
(Hexadecimal) (16)
範
例
0、1、2、3、4、 425D
5、6、7、8、9
(425)10 或 425(10)
省略不加記號
2進制
P2-3 表2-1-2
425
0、1
10101B
(10101)2 或 10101(2)
0、1、2、3、
4、5、6、7
1702O
(1702)8 或 1702(8)
0、1、2、3、4、 7A3BH
5、6、7、8、9
(7A3B)16 或 7A3B(16)
A、B、C、D、E、
F
不同進制數目從0~15的對照表
10 進制
2 進制
8 進制
16 進制
0
0000
0
0
1
0001
1
1
2
0010
2
2
3
0011
3
3
4
0100
4
4
5
0101
5
5
6
0110
6
6
7
0111
7
7
8
1000
10
8
9
1001
11
9
10
1010
12
A
11
1011
13
B
12
1100
14
C
13
1101
15
D
14
1110
16
E
15
1111
17
F
P2-4 表2-1-3
P2-4 圖2-1-1
10進制轉換成其他進制的轉換方式
P2-5 表2-1-4
10進制轉換成 2 進制—求68 (10) =1000100 (2)
步驟 1
步驟 5
步驟 2
步驟 6
步驟 3
步驟 4
由下往上 取出答案
P2-6 表2-1-5
10進制轉換成 8 進制—求85 (10) =125 (8)
步驟 1
由下往上 取出答案
步驟 2
P2-6 表2-1-6
10進制轉換成 16 進制—求108 (10) =6C (16)
由下往上 取出答案
P2-7 表2-1-8
表2-1-9
英文
縮寫
MSD
LSD
英文
縮寫
MSB
LSB
英文全名
中文名稱
說明
Most Significant
Digit
最高有效數字 MSD、LSD通常用來表
示一個非2進制數的
Least Significant 最低有效數字 最高與最低有效數字。
Digit
英文全名
Most Significant
Bit
中文名稱
說明
最高有效位元 MSB、LSB通常用來表
示一個2進制數的最
高與最低有效位元
Least Significant 最低有效位元
Bit
P2-8 表2-1-10
10進制轉換成 2 進制—求0.625 (10) =0.101 (2)
步驟 1
步驟 2
步驟 3
由上往下 取出答案
P2-9 表2-1-11
10進制轉換成 8 進制—求0.8125 (10) =0.64 (8)
步驟 1
步驟 2
由上往下 取出答案
P2-10 表2-1-12
10進制轉換成 16 進制—求0.6875 (10) =0.B (16)
由上往下 取出答案
10進制轉換成2進制、8進制、16進制
10進制轉換成2進制
10進制轉換成8進制
P2-12 表2-1-14
10進制轉換成16進制
整
數
轉
換
小
數
轉
換
結 60.3125(10)=111100.0101(2) 60.3125(10) =74.24(8)
果
60.3125(10) =3C.5(16)
P2-13 圖2-1-2
其他進制轉換成 10進制的轉換方式
P2-13 表2-1-15
2 進制轉換成 10 進制—求101101.101(2) =45.625(10)
2 各數字加權
進
制 原數值
10
進
制
P2-14 表2-1-16
8 進制轉換成 10 進制—求536.64(8) =350.8125(10)
8 各數字加權
進
制 原數值
10
進
制
P2-15 表2-1-17
16 進制轉換成 10 進制—求A9D.6(16) =2717.375(10)
16 各數字加權
進
制 原數值
10
進
制
P2-15 表2-1-18
乘方關係
符合條件
轉換說明
將 X 進制 n 個數 將 Y 進制 1 個數展開
組合成 Y 進制的 成 X 進制的 n 個數
1 個數
P2-16 表2-1-19
轉換原則說明範
2進制轉成4進制
2
進 2進制轉成8進制
制
2進制轉成16進制
範例與註解
P2-16 表2-1-19
轉換原則說明範
3進制轉成9進制
3
進
制
4進制轉成16進制
4
進
制
範例與註解
P2-17 表2-1-20
轉換通則說明範
4進制轉成2進制
2
進 8進制轉成2進制
制
16進制轉成2進制
範例與註解
P2-17 表2-1-20
轉換通則說明範
9進制轉成3進制
3
進
制
16進制轉成4進制
4
進
制
範例與註解
P2-18 圖2-1-3
8進制→2進制:1個數展開成3個數。
2進制→8進制:3個數組合成1個數。
16進制→2進制:1個數展開成4個數。
2進制→16進制:4個數組合成1個數。
以 2 進制為轉換媒介
以 10 進制為轉換媒介
P2-18 圖2-1-4
P2-19 圖1-1-5
P2-22 表2-2-1
常用進制的補數種類
r 進制
(r-1)補數
r 補數
(r-1)補數與
r 補數之關係
2進制
1的補數(1's)
2的補數(2's)
2's=1's+1
8進制
7的補數(7's)
8的補數(8's)
8's=7's+1
10進制
9的補數(9's)
10的補數(10's) 10's=9's+1
16進制
15的補數(15's) 16的補數(16's) 16's=15's+1
P2-23 表2-2-2
求10進制數8523(10) 的9補數(9‘s)
解法
解法1
解法2
計
算
過
程
說
明
結果
9補數觀念即為每位數的總和
皆為9,故填滿其每位數不足
之數,即為其補數。
10進制數的最大數值為9,而
8523(10) 為4位數,故以9999(10)
減去8523(10),得1476(10)即為答
案。
1476(10)
P2-23 表2-2-2
求10進制數8523(10) 的10補數(10‘s)
解法
解法1
解法2
10's = 9's+1
8523(10)為10進制4位數,故以
10 即10000(10)減去8523(10),
得1477(10)即為答案。
計
算
過
程
說
明
結果
1477(10)
P2-24 表2-2-3
求2進制數0101100(2) 的1補數(1‘s)
解
法
解法1
解法2
計
算
過
程
說
明
結
果
1補數觀念即為每位數的總和皆 2進制數的最大數值為1,而
為1,故填滿其每位數不足之
0101100(2)為7位數,故以
數,即為其補數。
1111111(2)減去0101100(2),
得1010011(2)即為答案。
1010011(2)
P2-24 表2-2-3
求2進制數0101100(2) 的2補數(2‘s)
解
法
解法1
解法2
計
算
過
程
說
明
結
果
2's = 1's+1
0101100(2)為2進制7位數,故
以2 即10000000(2)減去
0101100(2),得1010100(2) 即為
答案。
1010100(2)
P2-25 表2-2-4
求8進制數3175(8) 的7補數( 7‘s)
解
法
解法1
解法2
計
算
過
程
說
明
結
果
7補數觀念即為每位數的總和皆 8進制數的最大數值為7,而
為7,故填滿其每位數不足之
3175(8)為4位數,故以7777(8)
數,即為其補數。
減去3175(8),4602(8) 即為答
案。
4602(8)
P2-25 表2-2-4
求8進制數3175(8) 的8補數( 8‘s)
解
法
解法1
解法2
計
算
過
程
說
明
結
8's = 7's+1
3175(8)為8進制4位數,故以
8
即10000(8)減去3175(8),得
4603(8)即為答案。
4603(8)
P2-26 表2-2-5
求16進制數59E2(16) 的15補數( 15‘s)
解
法
解法1
解法2
計
算
過
程
說
明
結
果
15補數觀念即為每位數的總和 16進制數最大數值為F(15),
皆為15(F),故填滿其每位數 而A61D(16)為4位數,故以
不足之數,即為其補數。
FFFF(16)減去59E2(16),得
A61D(16)即為答案。
A61D(16)
P2-26 表2-2-5
求16進制數59E2(16) 的16補數( 16‘s)
解
法
解法1
解法2
16's = 15's+1
A61D(16)為16進制4位數,故以
16 即10000(16)減去59E2(16),
得
A61E(16)即為答案。
計
算
過
程
說
明
結
A61E(16)
1 補數(1's )與 2 補數(2's )整數的速解法
題型1:1補數
0變1,1變0
步
驟
P2-27 表2-2-6
題型2:2補數

從最低有效位元(LSB)開始,向最高有
效位元(MSB)檢視,遇0,寫0。

直到遇到第一個1,寫1。

左邊剩餘的所有位元取1補數(即0變1,1變
0)。
例題1
過
程
說
明
例題2
小數的 2's 轉換
題型1:純小數
P2-28 表2-2-7
題型2:帶小數
轉
換
過
程
說 除了0.不變以外,其餘轉換
明 方式與整數相同。
轉換方式與整數相同。
P2-29 表2-2-8
求 16 進制數的 2's
解法1
先將16進制數轉為2進制數,求2's
後再轉回16進制數。
解法2
直接求該數的16補數。
(速解)
P2-30 表2-2-9
正負數表示法
範例數值
符號大小表示法 1的補數(1's) 2的補數(2's)
表示法
表示法
+20(10)
010100(2)
010100(2)
010100(2)
-20(10)
110100(2)
101011(2)
101100(2)
MSB
(符號位元)
取得負數
的方式
0:正
1:負
改變符號位元
得負數
0:正
1:負
取1's得負數
0:正
1:負
取2's得負數
正負數的表示範圍
P2-31 表2-2-10
10進制
2進制
討
無號數
有號數
符號大小
1的補數
2的補數
000
001
010
011
0
1
2
3
0
1
2
3
0
1
2
3
0
1
2
3
100
101
110
111
4
5
6
7
-0
-1
-2
-3
-3
-2
-1
-0
-4
-3
-2
-1
論
無正負之
分
有+0與-0之問題
有+0與-0之問題
與10進制之正負
數系統的表示方
式最接近
用於浮點數表示法
有正 0 與負 0之
衝突,故較少用
用於一般整數數
值表示法
表示範圍
用
途
P2-32 圖
圖(a)
圖(b)
10 進制的負數與 2 進制的轉換
題型1:10進制的負數以2進制表示
P2-32 表2-2-11
題型2:以2進制求解10進制負數
範 以8位元的2's表示法求-35(10) =?(2) 以2's表示法求11011101(2) =?
例
說
一
般
方
法
明
快
速
解
法
(10)
10 進制的負數與 16 進制的轉換
題型1:10進制的負數以16進制表示
P2-33 表2-2-12
題型2:以16進制求解10進制負數
範 以8位元的2's表示法求-35(10) =?(16) 以2's表示法求 DD(16) =?
例
一
說 般
方
法
一
般
方
法
明
快
速
解
法
快
速
解
法
(10)
2 進制加法原則
小於 2
等於 2
∵1+1=2
∴2-2=0
同時進位
1
P2-37 表2-3-1
大於 2
∵1+1+1=3>2
∴3-2=1
同時進位1
8 進制加法原則
小於 8
等於 8
∵5+3=8
∴8-8=0
同時進位
1
P2-38 表2-3-2
大於 8
∵5+6=11>8
∴11-8=3
同時進位1
16 進制加法原則
小於 16
等於 16
∵12+4=16
∴16-16=0
同時進位1
P2-39 表2-3-3
大於 16
∵12+15=27>16
∴27-16=11(=B)
同時進位1
2 進制減法原則
被減數≧減數
P2-39 表2-3-4
被減數<減數
∵0-1不夠減
∴借1(借位值為2)
結果:
(2+0)-1 = 1
8 進制減法原則
被減數≧減數
P2-40 表2-3-5
被減數<減數
∵3-5不夠減
∴借1(借位值為8)
結果:
(8+3)-5 = 6
16 進制減法原則
被減數≧減數
P2-41 表2-3-6
被減數<減數
∵7-D不夠減
∴借1(借位值為16)
結果:
(16+7)-D = A
P2-42 表2-3-7
以加法完成減法運算
原運算式
等效運算
A-B
- A + B
- A - B
(+A)+(-B) (-A)+(+B) (-A)+(-B)
取2補數--以 12、5(8
原運算式
等效運算
12-5
(+12(10))+(-5(10))
= +7(10)
-12+5
(-12(10))+(+5(10))
= -7 (10)
-12-5
(-12(10))+(-5(10))
= -17(10)
位元)為例
P2-43 表2-3-8
二進制運算過程
取1補數方式--以 12、5(8
原運算式
等效運算
12-5
(+12(10))+(-5(10))
= +7(10)
-12+5
(-12(10))+(+5(10))
= -7(10)
-12-5
(-12(10))+(-5(10))
= -17(10)
位元)為例 P2-44 表2-3-9
二進制運算過程
互斥或運算(XOR)的真值表
P2-46 圖2-3-1
解決溢位的方法:增加其位元數
10
進
制
位
元
數
(+12(10))+(+5(10)) = +17
5 位元
(10)
6 位元
P2-48 表2-3-10
(-12(10))+(-5(10)) = -17(10)
5 位元
6 位元
2
進
制
運
算
▲圖(a)
說
明
正+正=負
∴ 溢位
▲圖(b)
正+正=正
∴ 未溢位
▲圖(c)
負+負=正
∴ 溢位
▲圖(d)
負+負=負
∴ 未溢位
數碼的種類
P2-50 表2-4-1
加權碼 BCD碼
每個位元都有固定的加權值,適
合作為算術運算。
數字碼 非加權 加 3 碼
碼
(Excess-3)
每個位元都沒有固定的加權值,
不適合作為算術運算。
格雷碼(Gray)
ASCII(美國標準資訊交換
碼)
文字碼
EBCDIC(擴充式BCD交換碼)
通信碼或文數字碼。為一種能提
供不同廠牌電腦間,作資料交換
的標準內碼。
P2-50 表2-4-2
BCD(Binary Coded Decimal)碼
定義
特點
BCD 的意思為:
屬於加權碼。
以2進制(Binary)取碼(Coded) 每一位10進制數(0∼9),皆
10 進制(Decimal)。所以凡是
以4位元的2進制數來表示。
用來取碼10進制數字的2 進制
位元使用效率不高,16 種狀
碼,皆可稱為 BCD 碼。
態只有使用其中10 種。
BCD 碼的種類
P2-50 表2-4-3
十進制碼
8-4-2-1碼
2-4-2-1碼
4-2-2-1碼
3-3-2-1碼
0
0000
0000
0000
0000
1
0001
0001
0001
0001
2
0010
0010
0010
0010
3
0011
0011
0011
0011
4
0100
0100
1000
0101
5
0101
1011
0111
1010
6
0110
1100
1100
1100
7
0111
1101
1101
1101
8
1000
1110
1110
1110
9
1001
1111
1111
1111
BCD 碼的運算—加法
P2-52 表2-4-4
運算情況 1:(運算結果值≦9)或(無進位)
10進制運算
BCD碼運算
數碼不需要修正
BCD 碼的運算—加法
P2-52 表2-4-5
運算情況 2 :(運算結果值>9)
10進制運算
BCD碼運算
BCD碼結果值>9,必須再加上6(0110(2)),予以修正
BCD 碼的運算—加法
P2-52 表2-4-6
運算情況 3 :(運算時產生進位)
10進制運算
BCD碼運算
產生進位,結果值必須再加上6(0110(2)),予以修正
BCD 碼的運算—加法
運算情況1
10進制
16進制
未進位
不需要
調整
調整的原則
運算情況2
(BCD碼結果值>9)
10進制
16進制
產生進位 運算結果值
∴加6調整
P2-53 表2-4-7
運算情況3
(產生進位)
10進制
16進制
產生進位
產生進位
∴加6調整
BCD 碼的運算—減法
運算情況1
10進制
16進制
未借位
不需要
調整
調整的原則
運算情況2
(BCD碼結果值>9)
10進制
16進制
產生借位 運算結果值
∴減6調整
P2-54 表2-4-8
運算情況3
(產生進位)
10進制
16進制
產生借位
產生借位
∴減6調整
加三碼(Excess-3)或稱超三碼
定義
屬於BCD碼的一種。將BCD碼
再加3(0011),即得加三
碼;其表示法可用下標
(Excess-3)或(Ex-3)或
(加三)來表示。
例如:
0100(Excess-3)
0100(Ex-3)
0100(加三)
P2-55 表2-4-9
十進碼、BCD碼與加三碼的對照表
10進碼
BCD
加三碼
0
0000
0011
1
0001
0100
2
0010
0101
3
0011
0110
4
0100
0111
5
0101
1000
6
0110
1001
7
0111
1010
8
1000
1011
9
1001
1100
加三碼(Excess-3)的特點
以9自補關係
P2-55 圖2-4-1
P2-56 表2-4-10
2 進制碼轉換成格雷碼的步驟
(以 1001011(2) 為例)
步驟 將2進制碼的最高有效位
元(MSB)取出,即為格
雷碼的最高有效位元
(MSB)。
步驟 從2進制碼的最高有效位
│
元(MSB)開始,將相鄰
步驟 位元兩兩做互斥或
(XOR)運算,直到最低
有效位元(LSB)為止,
所得結果即為相對應之格
雷碼。
圖解步驟
P2-58 表2-4-11
碼格雷碼轉換成2 進制的步驟
P2-58 表2-4-12
(以 1101110(Gray) 為例)
步驟 將格雷碼的最高有效位元
(MSB)取出,即為2進制
碼的最高有效位元(MSB)。
步驟 將2進制碼的最高有效位元
│
(MSB)與原格雷碼之次高有
步驟 效位元兩兩做互斥或(XOR)
運算,所得結果與格雷碼的
下一位元再作互斥或運算,
直到格雷碼的最低有效位元
(LSB)為止,此即相對應的
2進制碼。
圖解步驟
格雷碼的特點
P2-58 表2-4-13
特點1:為一種反射碼
除了MSB外,其餘數碼皆具有上下反射(鏡射)之特性,
如圖2-4-2a、圖2-4-2b
說
明
▲圖2-4-2a
2 位元 Gray Code 的鏡射
▲圖2-4-2b
3 位元 Gray Code 的鏡射
P2-59 表2-4-14
格雷碼的特點
特點2:相鄰兩數只有 1 位元改變
相鄰兩數
(0→1)、(1→2)、(2→3)、
(3→4)(4→5)、(5→6)、
說 (6→7)、(7→0)
只有一個位元改變,
參考圖2-4-3,
明 因其具有循環特性,
故亦稱為循環碼。
圖2-4-3
格雷碼的特點
P2-59 表2-4-15
特點3:應用在轉換器上,是一種誤差較小的數碼
說
類比/數位轉換器若採用Gray碼,其轉換的結果誤差較小。
在類比/數位轉換的轉換過程中,常因解析度的關係,會產生介於兩數
字間的模糊答案,以下用3.5(10) 轉2進制碼為例,參考圖2-4-4所示,
2進制碼與格雷碼比較結果可知,格雷碼的誤差值較小。
明
圖2-4-4
格雷碼的特點
P2-60 表2-4-16
特點4:可作為偵錯碼
將2進制數轉成格雷碼來傳送資料,若是正確傳送,則可正確還原回原2進
制數值,如圖2-4-5所示,若是傳送錯誤,則無法正確還原回原2進制數
值,如圖2-4-6所示,故可作為偵錯碼。
說
明
圖2-4-5
圖2-4-6
格雷碼的特點
P2-60 表2-4-17
特點5:不適合用於算術運算
格雷碼屬於非加權碼,故不適合用於算術運算,如圖2-4-7所示。
(格雷碼所代表的10進制數值可查閱表2-4-14中的圖2-4-3)
說
明
▲圖2-4-7
P2-61 表2-4-18
ASCII(American Standard Code for Information Interchange)
美國標準資訊交換碼
特點
是由ANSI(American National Standard Institude)所制定的一套
文數字碼。
每個字由7個位元所組成〔區域位元:3位元;數字位元:4位元(如下
圖2-4-8所示)〕,共可表示128(27)種不同的字碼。(IBM公司多增
加了最高有效位元(MSB)為1的128個圖形字碼,故IBM PC系列電腦
中的ASCII Code是由8位元組成一個字,共有256(28)個字)
是目前使用率最普遍的文數字碼。
用途
使不同廠商所生產的不同規格
電腦,能透過此一標準碼,在
彼此之間正確交換或傳送資
料。
圖2-4-8
ASCII字碼表
P2-61 表2-4-19
ASCII簡易分類
0∼1FH
30H∼39H
通信碼或控 數字:0~9
制碼
41H∼5AH
大寫英文
字:A~Z
61H∼7AH
P2-62 表2-4-20
表2-4-21
其餘數值
小寫英文字: 其他符號字
a~z
碼或圖形字
碼
EBCDIC(Extended BCD Interchange Code)碼:
擴充式BCD交換碼
特點
由IBM公司所制定,為一套用於IBM大型電腦上的文數字碼。
每個字由8個位元所組成,共可表示256(2 )種不同的字碼。
偵錯原因與方法
P2-62 表2-4-22
說明
偵錯方法(舉例)
在資訊系統中,數碼資料經常需
要作傳送與交換,但是在傳送的
過程中,難免會因元件的損壞或
線路故障而導致資料傳送錯誤,
因此就有必要設置一套偵錯系
統。
同位元偵錯法(目前最廣泛且簡
易的偵錯法)漢明碼偵錯法(具
有更正能力)
CRC碼偵錯法
‧
‧
‧
同位元偵錯法
奇同位系統
P2-63 表2-4-23
偶同位系統
格
式
定 資料碼加入同位元後,共有奇數 資料碼加入同位元後,共有偶數
義 個「1」。
個「1」。
(1)
(1)
(2)
(2)
舉
例
P2-63 表2-4-24
偵錯率
同位系統
原資料碼
錯誤位元數
(任意位置)
奇同位系統
偶同位系統
10010100
偵測狀態
01100101
偵測狀態
1個位元
10110100
可偵測到
(偶數個1)
00100101
可偵測到
(奇數個1)
2個位元
11110100
偵測不到
(奇數個1)
01111101
偵測不到
(偶數個1)
3個位元
10101100
可偵測到
(偶數個1)
10110101
可偵測到
(奇數個1)
4個位元
11101100
偵測不到
(奇數個1)
01111011
偵測不到
(偶數個1)