可编程逻辑器件原理 - 电子创新网赛灵思中文社区

Download Report

Transcript 可编程逻辑器件原理 - 电子创新网赛灵思中文社区

该电子教案为<<EDA原理及VHDL>>一书的配套教学资源
版权所有,不得用于其它商业用途
可编程逻辑器件设计方法
何宾
2011.09
可编程逻辑器件设计方法
-本章概述
可编程逻辑器件(Programmable Logic Device,
PLD)产生于上世纪70年代,是在专用集成电路
(Application Specific Integrated Circuit, ASIC)基础上发
展起来的一种新型逻辑器件,是当今数字系统设计的主
要硬件平台,其主要特点就是由用户通过硬件描述语言
和相关电子设计自动化软件对其进行配置和编程。
可编程逻辑器件设计方法
- PLD芯片制造工艺
1、熔丝连接技术
最早的允许对器件进行编程的技术是熔丝连接技
术。在这种技术的器件中,所有逻辑的连接都是靠熔丝连
接的。熔丝器件是一次可编程的,一旦编程,永久不能改
变。
逻辑1
逻辑1
a
a
&
&
b
b
图2.1 熔丝未编程的结构
图2.2 熔丝编程的结构
可编程逻辑器件设计方法
- PLD芯片制造工艺
2、反熔丝连接技术
反熔丝技术和熔丝技术相反,在未编程时,熔丝没有连
接。如果编程后,熔丝将和逻辑单元连接。反熔丝开始是
连接两个金属连接的微型非晶硅柱。
未编程时,成高阻状态。编程结束后,形成连接。反
熔丝器件是一次可编程的,一旦编程,永久不能改变。
逻辑1
逻辑1
a
a
b
&
图2.3 熔丝未编程的结构
b
&
图2.4 熔丝编程的结构
可编程逻辑器件设计方法
- PLD芯片制造工艺
3、SRAM技术
基于静态存储器SRAM的可编程器件,值被保存在
SRAM中时,只要系统正常供电信息就不会丢失,否
则信息将丢失。SRAM存储数据需要消耗大量的硅面
积,且断电后数据丢失。但是这种器件可以反复的编
程和修改。
可编程逻辑器件设计方法
- PLD芯片制造工艺
4、掩膜技术
ROM是非易失性的,系统断电后,信息被保留在存
储单元中。掩膜器件可以读出,但是不能写入信息。
ROM单元保存了行和列数据,形成一个阵列,每一列有
负载电阻使其保持逻辑1,每个行列的交叉有一个关联
晶体管和一个掩膜连接。
这种技术代价比较高,基本上很少使用。
可编程逻辑器件设计方法
- PLD芯片制造工艺
5、PROM技术
PROM是非易失性的,系统断电后,信息被保留在
存储单元中。PROM器件可以编程一次,以后只能读数
据而不能写入新的数据。PROM单元保存了行和列数
据,形成一个阵列,每一列有负载电阻使其保持逻辑
1,每个行列的交叉有一个关联晶体管和一个掩膜连
接。
如果可以多次编程就成为EPROM,EEPROM技术。
可编程逻辑器件设计方法
- PLD芯片制造工艺
6、FLASH技术
FLASH技术的芯片的檫除的速度比PROM技术要快
的多。FLASH技术可采用多种结构,与EPROM单元类
似的具有一个浮置栅晶体管单元和EEPROM器件的薄氧
化层特性。
可编程逻辑器件结构
-CPLD芯片内部结构
CPLD 由完全可编程的与/或阵列以及宏单元库构成。
与/或阵列是可重新编程的,可以实现多种逻辑功能。宏
单元则是可实现组合或时序逻辑的功能模块,同时还提供
了真值或补码输出和以不同的路径反馈等额外的灵活性。
下面给出了CPLD的内部结构图。
可编程逻辑器件结构
-CPLD芯片内部结构
可编程逻辑器件结构
-CPLD芯片内部结构(功能块)
FB内部的逻辑使用积之和SOP描述。36个输入提供
了72个真和互补信号到可编程的“与”阵列来生成90个乘积
项。最多可用的90个乘积项可以通过乘积项分配器分配到
一个每个宏单元。
可编程逻辑器件结构
-CPLD芯片内部结构(宏单元)
组合逻辑资源
触发器资源
图2.7 宏单元的内部结构
可编程逻辑器件结构
-CPLD芯片内部结构(宏单元)
从数字电路的知识知道, 任何一个数字系统是由组合
逻辑电路和时序电路构成的.
所以宏单元应该是CPLD芯片最重要的功能单元. 因为
数字系统的逻辑功能是在宏单元内实现的.
可编程逻辑器件结构
-CPLD芯片内部结构(快速连接矩阵)
快速连接矩阵
快速连接开关矩阵的内部结构
可编程逻辑器件结构
-CPLD芯片内部结构
IO块内部逻辑
可编程逻辑器件设计方法
-FPGA芯片的内部结构
现场可编程门阵列(Field Programmable Gate Array,
FPGA) 是在PAL、GAL、EPLD、CPLD等可编程器件的
基础上进一步发展起来的,它是作为ASIC领域中的一种
半定制电路而出现的,即解决了定制电路的不足,又克
服了原有可编程器件门电路有限的缺点。
由于FPGA需要被反复烧写,它实现组合逻辑的基
本结构不可能像ASIC那样通过固定的与非门来完成,而
只能采用一种易于反复配置的结构。查找表可以很好地
满足这一要求,目前主流FPGA都采用了基于SRAM工艺
的查找表结构,也有一些军品和宇航级FPGA采用Flash
或者熔丝与反熔丝工艺的查找表结构。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(查找表)
由布尔代数理论可知,对于一个n输入的逻辑运算,
不管是与或非运算还是异或运算等等,最多只可能存
在2n种结果,所以如果事先将相应的结果存放于一个
存贮单元,就相当于实现了与非门电路的功能。
FPGA的原理也是如此,它通过烧写文件去配置查
找表的内容,从而在相同的电路情况下实现了不同的
逻辑功能。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(4输入查找表)
实际逻辑电路
LUT实现方式
a,b,c,d输入
逻辑输出
RAM地址
RAM中存储内容
0000
0
0000
0
0001
0
0001
0
….
.
…
.
1111
1
1111
1
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(4输入查找表)
查找表(Look-Up-Table)简称为LUT,LUT本质上
就是一个RAM。目前FPGA中多使用4输入的LUT,所以
每一个LUT可以看成一个有4位地址线的RAM。
当用户通过原理图或HDL语言描述了一个逻辑电路
以后,PLD/FPGA开发软件会自动计算逻辑电路的所有
可能结果,并把真值表(即结果)事先写入RAM,这
样,每输入一个信号进行逻辑运算就等于输入一个地址
进行查表,找出地址对应的内容,然后输出即可。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(4输入查找表)
LUT具有和逻辑电路相同的功能。实际上,LUT具有
更快的执行速度和更大的规模。LUT具有下面的特点:
1)LUT实现组合逻辑的功能由输入决定,而不是由
复杂度决定;
2)LUT实现组合逻辑有固定的传输延迟;
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(6输入查找表)
多年以来,四输入 LUT 一直是业界标准。但是,在
65nm工艺条件下,相较于其它电路(特别是互连电
路),LUT 的常规结构大大缩小。
一个具有四倍比特位的六输入LUT (6-LUT) 仅仅将
CLB 面积提高了15% - 但是平均而言,每个 LUT 上可集
成的逻辑数量却增加了40%。更高的逻辑密度通常可以降
低级联 LUT 的数目,并且改进关键路径延迟性能。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(6输入查找表)
新一代的FPGA 提供了真正的 6-LUT,可以将
它用作逻辑或者分布式存储器,这时 LUT是一个64
位的分布式 RAM (甚至双端口或者四端口)或者
一个32 位可编程移位寄存器。
每个 LUT 具有两个输出,从而实现了五个变量
的两个逻辑函数,存储32 x 2 RAM 比特,或者作为
16 x 2-bit 的移位寄存器进行工作。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(6输入查找表)
4/6输入LUT实现8:1多路复用器的原理
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(6输入查找表)
XilinxSpartan-6 FPGA
芯片的内部版图结构,随着
FPGA集成度的不断增加,
其功能不断的增强,新一代
的FPGA芯片内部结构包含:
 GTP收发器
 CLB单元
 PCI-E块
 IO组
 存储器控制块
 块存储器
 DSP模块
 时钟管理模块等资源。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(CLB)
可配置的逻辑块(Configurable
Logic Block, CLB)是主要的逻辑资
源,用于实现顺序和组合逻辑电路。
每个CLB连接到一个开关矩阵
用于访问通用的布线资源。一个
CLB包含一对切片Slice。这两个
切片没有直接的相互连接,每个
切片通过列组织在一起。对于每
个CLB,CLB底下的切片标号为
SLICE(0),CLB上面的切片标号为
SLICE(1)。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(CLB)
X后面的数字标识切片对内每个
切片的位置,以及切片列的位置。
X编号计算切片位置从底部以顺序
0,1开始计算(第1列CLB);2,
3(第2列CLB)。Y编号后的数字标识
切片的行位置。图中的4个CLB位于
硅片的左下角的位置。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(SLICE)
触发器
进位链
LUT
复用器
触发器
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(时钟资源)
Spartan-6的FPGA时钟资源包含下面四种类型的连接:




全局时钟输入引脚(GCLK);
全局时钟复用开关(BUFG,BUFGMUX)
I/O时钟缓冲区(BUFIO2,BUFIO2_2CLK, BUFPLL)
水平的时钟布线缓冲区(BUFH)
Spartan-6的FPGA包含两种类型的时钟网络:


提供了16个高速,低抖动的全局时钟资源来优化性能。这些
资源通过EDA软件工具自动的使用。
提供了40个超高速、低抖动的I/O区域时钟资源。用于服务本
地的I/O串行/解串行电路。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(时钟资源)
DCM的符号
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(BRAM)
大多数FPGA都具有内嵌
的块RAM,这大大拓展了
FPGA的应用范围和灵活性。
块RAM可被配置为:
1)单端口RAM;
2)双端口RAM;
3)内容地址存储器
(CAM);
4)FIFO等常用存储结构。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(BRAM)
单片块RAM的容量为18k比特,即位宽为18比特、深
度为1024,可以根据需要改变位宽和深度,但要满足:
1)修改后的容量(位宽 深度)不能大于18k比特;
2)位宽最大不能超过36比特;
当然,可以将多片块RAM级联起来形成更大的RAM,
此时只受限于芯片内块RAM的数量,而不再受上面两条
原则约束。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(布线资源)
互联是FPGA内用于在功能元件,比如IOB,CLB,
DSP和BRAM,的输入和输出信号通路的可编程网络。互
联也称为布线,被分段用于最优的连接。
Spartan-6 FPGA CLB在FPGA内以规则的阵列排列。
如下图,每个到开关矩阵的连接用来访问通用的布线资
源。
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(布线资源)
图2.20 FPGA内的布线资源
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(存储器控制器)
特点
Spartan-6 FPGA内
高性能
集成了硬的存储器控制
器,FPGA内集成的硬存
低成本
储器控制器减少了设计
低功耗
的时间,并且支持DDR,
DDR2 , DDR3 & LP DDR。容易设计
具体体现
最大800Mbps
节约软件逻辑,较小的晶圆
专用的逻辑
时间收敛不再是一个问题
可配置的多端口用户接口
核生成器/MIG向导 & EDK
支持
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(DSP核)
分析其结构..和DSP算法的关系
DSP模块内部结构
可编程逻辑器件设计方法
-- FPGA芯片的内部结构(输入/输出块)
可编程输入/输出单元简称I/O单
元,是芯片与外界电路的接口部分,
完成不同电气特性下对输入/输出信
号的驱动与匹配要求。
FPGA的I/O按组分类,每组都
能够独立地支持不同的I/O标准。通
过软件的灵活配置,可适配不同的电
气标准与I/O物理特性,可以调整驱
动电流的大小,可以改变上、下拉电
阻。
思考问题?
可编程逻辑器件的可编程主要体现在哪些方面?
1) CLB的功能分配
2) 连线的控制
3) IO块的控制
可编程逻辑器件设计方法
-CPLD和FPGA的比较
FPGA和CPLD都是可编程逻辑器件,有很多共同特点,
但由于CPLD和FPGA结构上的差异,具有各自的特
点:
1、CPLD更适合完成各种算法和组合逻辑, FPGA更适
合于完成时序逻辑。换句话说,FPGA更适合于触发器
丰富的结构,而CPLD更适合于触发器有限而乘积项丰富
的结构。
2、CPLD的连续式布线结构决定了它的时序延迟是均
匀的和可预测的,而FPGA的分段式布线结构决定了其延
迟的不可预测性。
可编程逻辑器件设计方法
-CPLD和FPGA的比较
3、在编程上FPGA比CPLD具有更大的灵活性。CPLD
通、过修改具有固定内连电路的逻辑功能来编程, FPGA主
要通过改变内部连线的布线来编程; FPGA可在逻辑门下编
程,而CPLD是在逻辑块下编程。
4、FPGA的集成度比CPLD高,具有更复杂的布线结构
和逻辑实现。
可编程逻辑器件设计方法
-CPLD和FPGA的比较
5、CPLD比FPGA使用起来更方便。CPLD的编程采用
E2PROM或FASTFLASH技术,无需外部存储器芯片,使用
简单。而FPGA的编程信息需存放在外部存储器上,使用方
法复杂。
6、CPLD的速度比FPGA快,并且具有较大的时间可预
测性。这是由于FPGA是门级编程,并且CLB之间采用分布
式互联,而CPLD是逻辑块级编程,并且其逻辑块之间的互联
是集总式的。
第2章 可编程逻辑器件设计方法
-CPLD和FPGA的比较
7、在编程方式上, CPLD主要是基于E2PROM或
FLASH存储器编程,编程次数可达1万次,优点是系统断电时
编程信息也不丢失。CPLD又可分为在编程器上编程和在
系统编程两类。FPGA大部分是基于SRAM编程,编程信息
在系统断电时丢失,每次上电时,需从器件外部将编程。数
据重新写入SRAM中。其优点是可以编程任意次,可在工作
中快速编程,从而实现板级和系统级的动态配置。
8、CPLD保密性好, FPGA保密性差。
可编程逻辑器件设计方法
-CPLD和FPGA的比较
9、一般情况下, CPLD的功耗要比FPGA大,且集成度越
高越明显。CPLD最基本的单元是宏单元。一个宏单元包
含一个寄存器(使用多达16个乘积项作为其输入)及其它有
用特性。 因为每个宏单元用了16个乘积项,因此设计人
员可部署大量的组合逻辑而不用增加额外的路径。这就是
为何CPLD被认为是“逻辑丰富”型的。宏单元以逻辑模
块
的形式排列(LB),每个逻辑模块由16个宏单元组成。宏单
元执行一个AND操作,然后一个OR操作以实现组合逻
辑。
可编程逻辑器件设计方法
-Xilinx公司器件简介-CPLD
Xilinx公司目前有两大类CPLD产品:
1)CoolRunner系列;
2)XC9500系列;
CoolRunner系列中又包含CoolRunner-II和CoolRunner
XPLA3两个系列。
XC9500系列中又包含XC9500XL和XC9500两个系列。
可编程逻辑器件设计方法
-Xilinx公司器件简介-XC9500
在保持高性能的同时,XC9500 器件还能提供最大的
布线能力和灵活性。
该构架特性丰富,包括单个 p-term 输出激活和 3 个全
局时钟,并且其单位输出的 p-term 比其它 CPLD 多。
该构架公认的在保持管脚分配(管脚锁定)的同时适
应设计变化的能力已在自 XC9500 系列推出以来的无数现
实世界中消费类设计中得到了说明。这个有保证的管脚锁
定意味着可以充分利用在系统编程性,并且能够在任何时
间(甚至是现场)轻松完成变更。
可编程逻辑器件设计方法
-Xilinx公司器件简介-XC9500
可编程逻辑器件设计方法
-Xilinx公司器件简介-XC9500XL
XC9500XL的CPLD 提供了一个高性能非易失性可编程
逻辑解决方案,包括成本优化的芯片、免费的设计工具
和无与伦比的技术支持。 使用与 Xilinx FPGA 同样的设
计环境,XC9500XL CPLD 可以为您提供灵活、高级的
逻辑系统设计所需的一切。
可编程逻辑器件设计方法
-Xilinx公司器件简介-XC9500XL
可编程逻辑器件设计方法
-Xilinx公司器件简介-CoolRunner-II
作为第一款能够提供100%数字核的 CPLD 系列,只有
CoolRunner™-II 系列可以通过单个成本优化解决方案提供
高性能和极低的功耗,以及现实系统特性。
可编程逻辑器件设计方法
-Xilinx公司器件简介-CoolRunner-II
可编程逻辑器件设计方法
-Xilinx公司器件简介-CoolRunner-II
可编程逻辑器件设计方法
-Xilinx公司器件简介- CoolRunnerXPLA3
CoolRunner XPLA3 先进构架特性体现在具有直接输入
寄存器路径,多时钟、JTAG 编程、5V耐压的 I/O 和一个
完整的 PLA 结构。这些增强性能提供了高速度和最灵活
的逻辑分配,从而具有了无需改变管脚即可修改设计的能
力。
CoolRunner XPLA3 架构包括一组48个乘积项,该乘
积项可分配到逻辑块中的任意宏单元。
可编程逻辑器件设计方法
-Xilinx公司器件简介- CoolRunnerXPLA3
可编程逻辑器件设计方法
-Xilinx公司器件简介- CoolRunnerXPLA3
可编程逻辑器件设计方法
-Xilinx公司器件简介- FPGA
Xilinx公司目前有两大类FPGA产品:
1)Spartan系列
主要面向低成本的中低端应用,是目前业界成本最低
的一类FPGA;
2)Virtex系列
主要面向高端应用,属于业界的顶级产品 。
这两个系列的差异仅限于芯片的规模和专用模块上,
都采用了先进的0.13um 、90nm 甚至65nm 制造工艺,具
有相同的卓越品质。
可编程逻辑器件设计方法
-Xilinx公司FPGA器件-Spartan类
Spartan系列适用于普通的工业、商业等领域,目前主
流的芯片包括:Spartan-2、Spartan-2E、Spartan-3、
Spartan-3A以及Spartan-3E等种类。
1)Spartan-2最高可达20万系统门;
2)Spartan-2E最高可达60万系统门;
3)Spartan-3最高可达500万门;
4)Spartan-3A和Spartan-3E不仅系统门数更大,还增
强了大量的内嵌专用乘法器和专用块RAM资源,具备实
现复杂数字信号处理和片上可编程系统的能力。
可编程逻辑器件设计方法
--Xilinx公司FPGA器件-Spartan-2系列
Spartan-2在Spartan系列的基础上继承了更多的逻辑资
源,达到更高的性能,芯片密度高达20万系统门。
由于采用了成熟的FPGA结构,支持流行的接口标
准,具有适量的逻辑资源和片内RAM,并提供灵活的时
钟处理,可以运行8位的PicoBlaze软核,主要应用于各类
低端产品中。
可编程逻辑器件设计方法
--Xilinx公司FPGA器件-Spartan-2系列
Spartan-2系列产品的主要特点如下所示:
1) 采用0.18um 工艺,密度达到5292个逻辑单元;
2) 系统时钟可以达到200MHz;
3) 采用最大门数为20万门,具有延迟数字锁相环;
4) 具有可编程用户I/O;
5) 具有片上块RAM存储资源;
可编程逻辑器件设计方法
--Xilinx公司FPGA器件-Spartan-2系列
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-2E系列
Spartan-2E基于Virex-E架构,具有比Spartan-2更多的逻
辑门、用户I/O和更高的性能。Xilinx还为其提供了包括存
储器控制器、系统接口、DSP、通信以及网络等IP核,并
可以运行CPU软核,对DSP有一定的支持。其主要特点如
下所示:
1) 采用0.15 um工艺,密度达到15552逻辑单元;
2) 最高系统时钟可达200MHz;
3) 最大门数为60万门,最多具有4个延时锁相环;
4) 核电压为1.2V,I/Q电压可为1.2V、3.3V、2.5V,支
持19个可选的I/O标准;
5) 最大可达288k的块RAM和221K的分布式RAM;
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-2E系列
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-3系列
Spartan-3基于Virtex-II FPGA架构,采用90 nm技术,8
层金属工艺,系统门数超过5百万,内嵌了硬核乘法器和数
字时钟管理模块。
从结构上看,Spartan-3将逻辑、存储器、数学运算、
数字处理器处理器、I/O以及系统管理资源完美地结合在一
起,使之有更高层次、更广泛的应用,获得了商业上的成
功,占据了较大份额的中低端市场。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-3系列
其主要特性如下:
1) 采用90 nm工艺,密度高达74880逻辑单元;
2) 最高系统时钟为340MHz;
3) 具有专用乘法器;
4) 核电压为1.2V,端口电压为3.3V、2.5V、1.2V,
支持24种I/O标准;
5) 高达520k分布式RAM和1872k的块RAM;
6) 具有片上时钟管理模块(DCM);
7) 具有嵌入式Xtrema DSP功能,每秒可执行3300亿
次乘加.
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-3系列
可编程逻辑器件设计方法
-Spartan-3A/3ADSP/3AN系列
Spartan-3A 在Spartan-3和Spartan-3E平台的基础上,整
合了各种创新特性,极大地削减了系统总成本。
利用独特的器件DNA ID技术,实现业内首款 FPGA 电
子序列号;提供了经济、功能强大的机制来防止发生窜
改、克隆和过度设计的现象。并且具有集成式看门狗监控
功能的增强型多重启动特性。支持商用 flash 存储器,有
助于削减系统总成本。
可编程逻辑器件设计方法
-Spartan-3A/3ADSP/3AN系列
其主要特性包括:
1) 采用90 nm工艺,密度高达74880逻辑单元;
2) 工作时钟范围为5MHz~320MHz;
3) 领先的连接功能平台,具有最广泛的 IO 标准(26
种,包括新的 TMDS 和 PPDS)支持;
4) 利用独特的 Device DNA 序列号实现的业内首个功
能强大的防克隆安全特性;
5) 五个器件,具有高达 1.4M 的系统门和 502 个 I/O;
灵活的功耗管理。
可编程逻辑器件设计方法
-Spartan-3A/3ADSP/3AN系列
可编程逻辑器件设计方法
-Spartan-3A/3ADSP/3AN系列
Spartan-3ADSP平台提供了最具成本效益的 DSP 器
件,其架构的核心就是 XtremeDSP DSP48A slice,还提供
了性能超过30GMAC/s、存储器带宽高达2196 Mbps的新型
XC3SD3400A和XC3SD1800A器件。
新型Spartan-3A DSP 平台是成本敏感型 DSP 算法和
需要极高DSP性能的协处理应用的理想之选。
可编程逻辑器件设计方法
-Spartan-3A/3ADSP/3AN系列
其主要特征包括:
1) 采用90nm 工艺,密度高达74880逻辑单元;
2) 内嵌的DSP48A可以工作到250MHz;
3) 采用结构化的SelectRAM架构,提供了大量的片上存
储单元;
4) VCCAUX的电压支持2.5V和3.3V,对于3.3V的应用
简化了设计;
5)低功耗效率,Spartan-3A DSP器件具有很高的信号处
理能力4.06 GMACs/mW。
可编程逻辑器件设计方法
-Spartan-3A/3ADSP/3AN系列
可编程逻辑器件设计方法
-Spartan-3A/3ADSP/3AN系列
Spartan-3AN芯片为最高级别系统集成的非易失性安全
FPGA,提供下列2个独特的性能:
1)先进SRAM FPGA的大量特性;
2)高性能以及非易失性FPGA的安全、节省板空间和
易于配置的特性。
Spartan-3AN平台是对空间要求严苛和/或安全应用及
低成本嵌入式控制器的理想选择。
可编程逻辑器件设计方法
-Spartan-3A/3ADSP/3AN系列
Spartan-3AN平台的关键特性包括:
1) 业界首款90nm非易失性FPGA,具有可以实现灵活
的、低成本安全性能的Device DNA电子序列号;
2) 业内最大的片上用户Flash,容量高达11Mb;
3) 提供最广泛的I/O标准支持,包括26种单端与差分信
号标准;
4) 灵活的电源管理模式,休眠模式下可节省超过40%
的功耗;
5) 五个器件,具有高达1.4M的系统门和502个I/O
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-3e系列
Spartan-3E是目前Spartan系列最新的产品,具有系统
门数从10万到160万的多款芯片,是在Spartan-3成功的基
础上进一步改进的产品,提供了比Spartan-3更多的I/O端
口和更低的单位成本,是Xilinx公司性价比最高的FPGA
芯片。
由于更好地利用了90 nm技术,在单位成本上实现了
更多的功能和处理带宽,是Xilinx公司新的低成本产品代
表,是ASIC的有效替代品,主要面向消费电子应用,如
宽带无线接入、家庭网络接入以及数字电视设备等。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-3e系列
其主要特点如下:
1) 采用90 nm工艺;
2) 大量用户I/O端口,最多可支持376个I/O端口或者
156对差分端口;
3) 端口电压为3.3V、2.5V、1.8V、1.5V、1.2V ;
4) 单端端口的传输速率可以达到622 Mbps,支持DDR
接口;
5) 最多可达36个 的专用乘法器、648 BRAM、231K分
布式RAM;
6) 宽的时钟频率 以及多个专用片上数字时钟管理
(DCM)模块
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-3e系列
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-6系列
Spartan-6系列的FPGA是Xilinx公司于2009年推出的
新一代的FPGA芯片,该系列的芯片功耗低,容量大。逻
辑单元的容量从3,400-148,000,但功耗只有以前Spartan
芯片的一半,并且有更快的,更复杂的连接性能。该系
列芯片基于45nm的铜处理技术工艺。该系列的芯片提供
了6输入的查找表逻辑。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Spartan-6系列
spartan-6芯片的特点主要包括:
1) 18KB的RBAM;
2) 第二代的DSP48A1 Slice;
3) SDRAM控制器;
4) 扩展的混合模式的时钟管理模块;
5) SelectIO技术,功耗优化的高速串行接收发送器模
块;
6)PCI-E端点模块;
7) 高级的系统级功耗管理模式;
8) 自动检测配置选项;
9) 使用ASE和DNA保护的扩展的IP安全性。
可编程逻辑器件设计方法
--Xilinx公司FPGA器件-Virtex类
Virtex系列是Xilinx的高端产品,也是业界的顶级产
品,Xilinx公司正是凭借Vitex系列产品赢得市场,从而
获得FPGA供应商领头羊的地位。可以说Xilinx以其
Virtex-5、Virtex-4、Virtex-II Pro和Virtex-II系列FPGA产
品引领现场可编程门阵列行业。主要面向电信基础设
施、汽车工业、高端消费电子等应用。
目前的主流芯片包括:Vitrex-2、Virtex-2 Pro、Virtex4和Virtex-5等种类。
可编程逻辑器件设计方法
--Xilinx公司FPGA器件-Virtex-II类
Vitrex-2系列具有优秀的平台解决方案,这进一步提升
了其性能;且内置IP核硬核技术,可以将硬IP核分配到芯
片的任何地方,具有比Vitex系列更多的资源和更高的性
能。
其主要特征如下所示:
1) 采用0.15um/0.12um 工艺;
2) 核电压为1.5V,工作时钟可以达到420MHz;
3) 支持20多种I/O接口标准;
4) 内嵌了多个 硬核乘法器,提高了DSP处理能力;
5) 具有完全的系统时钟管理功能,多达12个DCM模块。
可编程逻辑器件设计方法
--Xilinx公司FPGA器件-Virtex-II类
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-II Pro系列
Virtex-2 Pro系列在Virtex-2的基础上,增强了嵌入式
处理功能,内嵌了PowerPC405内核,还包括了先进的主
动互联(Active Interconnect)技术,以解决高性能系统所
面临的挑战。此外还增加了高速串行收发器,提供了千兆
以太网的解决方案。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-II Pro系列
其主要特征如下所示:
1) 采用0.13um 工艺;
2) 核电压为1.5V,工作时钟可以达到420MHz;
3) 支持20多种I/O接口标准;
4) 增加了2个高性能RISC技术、频率高达400MHz的
PowerPC处理器;
5) 增加多个3.125Gbps速率的Rocket串行收发器;
6) 内嵌了多个 硬核乘法器,提高了DSP处理能力;
7) 具有完全的系统时钟管理功能,多达12个DCM模块。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-II Pro系列
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-4系列
Virtex-4器件整合了高达200,000个的逻辑单元,高达
500 MHz的性能和无可比拟的系统特性。Vitex-4产品基于
新的高级硅片组合模块(ASMBL)架构,提供了一个多
平台方式(LX、SX、FX),使设计者可以根据需求选用
不同的开发平台;逻辑密度高,时钟频率能够达到
500MHz;具备DCM模块、PMCD相位匹配时钟分频器、
片上差分时钟网络;采用了集成FIFO控制逻辑的500MHz
SmartRAM技术,每个I/O都集成了ChipSync源同步技术的
1 Gbps I/O和Xtreme DSP逻辑片。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-4系列
其主要特点如下:
1) 采用了90 nm工艺,集成了高达20万的逻辑单元;
2) 系统时钟500MHz;
3)采用了集成FIFO控制逻辑的500MHz Smart RAM 技
术;
4) 具有DCM模块、PMCD相位匹配时钟分频器和片上
差分时钟网络;
5) 每个I/O都集成了ChipSync源同步技术的1Gbps
I/O;
6) 具有超强的信号处理能力,集成了数以百计的
XtremeDSP Slice。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-4系列
Vitex-4 LX平台FPGA的特点是密度高达20万逻辑单
元,是全球逻辑密度最高的FPGA系列之一,适合对逻
辑门需求高的设计应用。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-4系列
Virtex-4 SX平台提高了DSP、RAM单元与逻辑单元的
比例,最多可以提供512个XtremeDSP硬核,可以工作在
500MHz,其最大的处理速率为 ,并可以以其创建40多种
不同功能,并能多个组合实现更大规模的DSP模块。与
Vitex-2 Pro系列相比,还大大降低了成本和功耗,具有极
低的DSP成本。SX平台的FPGA非常适合应用于高速、实
时的数字信号处理领域。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-4系列
Virtex-4 FX平台内嵌了1~2个32位RISC PowerPC处理
器,提供了4个1300 Dhrystone MIPS、10/100/1000自适应
的以太网MAC内核,协处理器控制器单元(APU)允许
处理器在FPGA中构造专用指令,使FX器件的性能达到固
定指令方式的20倍;此外,还包含24个Rocket I/O串行高
速收发器,支持常用的0.6Gbps、1.25 Gbps、2.5 Gbps、
3.125 Gbps、4 Gbps、6.25 Gbps、10 Gbps等高速传输速
率。FX平台适用于复杂计算和嵌入式处理应用。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-4系列
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-5系列
Virtex-5系列是Xilinx最新一代的FPGA产品,计划提
供了4种新型平台,每种平台都在高性能逻辑、串行连接
功能、信号处理和嵌入式处理性能方面实现了最佳平衡。
现有的3款平台为LX、LXT以及SXT。LX针对高性能逻辑
进行了优化,LXT针对具有低功耗串行连接功能的高性能
逻辑进行了优化,SXT针对具有低功耗串行连接功能的
DSP 和存储器密集型应用进行了优化。其主要特点如
下:
1) 采用了最新的65 nm工艺,结合低功耗 IP 块将动态
功耗降低了35%;此外,还利用65nm ExpressFabric技
术,实现了真正的6输入LUT,并将性能提高了2个速度级
别。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-5系列
2)内置有用于构建更大型阵列的 FIFO 逻辑和 ECC 的
增强型36 Kbit Block RAM带有低功耗电路,可以关闭未使
用的存储器。
3) 逻辑单元多达330,000个,可以实现无与伦比的高性
能;
4) I/O引脚多达1,200个,可以实现高带宽存储器/网络接
口,1.25 Gbps LVDS;
5) 低功耗收发器多达24个,可以实现100 Mbps - 3.75
Gbps高速串行接口;
6) 核电压为1V,550 MHz系统时钟;
7) 550 MHz DSP48E slice内置有25 x 18 MAC,提供352
GMACS的性能,能够在将资源使用率降低50%的情况
下,实现单精度浮点运算;
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-5系列
8) 利用内置式PCIe端点和以太网MAC模块提高面积
效率 ;
9) 更加灵活的时钟管理管道(Clock Management
Tile)结合了用于进行精确时钟相位控制与抖动滤除的新
型PLL和用于各种时钟综合的数字时钟管理器
(DCM);
10) 采用了第二代sparse chevron封装,改善了信号完
整性,并降低了系统成本;
11) 增强了器件配置,支持商用flash存储器,从而降
低了成本。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-6系列
Virtex-6芯片为FPGA市场提供了最新最高级的特
性。使用第三代高级硅片模组(Advanced Silicon
Modular Block,ASMBL)结构。该系列包含LXT和
SXT两个子系列。
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-6系列
可编程逻辑器件设计方法
Xilinx公司FPGA器件-Virtex-6系列
除了高性能的逻辑互联外,还包含了很多内建的系统
级模块,其中包括:
1)36kb的块RAM/FIFO;
2) 第三代的DSP48E1;
3) 内建数字控制阻抗的SelectIO技术;
4) ChipSync源同步接口模块;
5) 系统监控功能;
6) 扩展的混合模式的时钟管理模块;
7) 高级配置选项;
8) 功耗优化的高速串行收发器模块;
9) PCI-E兼容的集成化的模块和三模式以太网MAC接
口。
Xilinx最新一代可编程逻辑器件
7系列FPGA的性能
Xilinx最新一代可编程逻辑器件
赛灵思的 7 系列产品充分利用 28nm 工艺技术所具备
的全新高介金属栅及高性能、低功耗等众多优异特性。7
系列 FPGA 使设计人员能够针对每个市场的目标应用以
适当的价格匹配合适的 I/O 支持、性能、特性量、封装及
功耗等。使设计人员不但能够实现低功耗,最大限度地发
挥工艺技术的可用性能,而且还能够使生产率实现最大
化。其不同系列的FPGA包括:
1) Artix-7 FPGA: 最低功耗与最低成本;
2) Kintex-7 FPGA: 业界最佳性价比;
3) Virtex-7 FPGA: 业界最高系统性能与容量;
4) EasyPath™-7 FPGA: 一款针对 Virtex-7 FPGA 设
计的快速、简单而无风险的成本降低解决方案。
可编程逻辑器件设计方法
Xilinx公司PROM器件-概述
Xilinx公司的Platform Flash PROM能为所有型号
的Xilinx FPGA提供非易失性存储。全系列PROM的容量
范围为1Mbit到32Mbit,兼容任何一款Xilinx的FPGA芯
片,具备完整的工业温度特性,支持IEEE1149.1所定义
的JTAG边界扫描协议。
PROM芯片可以分成3.3V核电压的 系列和1.8V核电
压的系列两大类,前者主要面向底端引用,串行传输数
据,且容量较小,不具备数据压缩的功能;后者主要面
向高端的FPGA芯片,支持并行配置、设计修订
(Designing Revisioning)和数据压缩(Compression)
等高级功能,以容量大、速度快著称.
可编程逻辑器件设计方法
Xilinx公司PROM器件-概述
可编程逻辑器件设计方法
Xilinx公司PROM器件- XCFXXS系列
XCFXXS系列包含XCF01S、XCF02S和
XCF04S(容量分别为:1Mb、2Mb和4Mb),其
共同特征有3.3V核电压,串行配置接口以及SOIC
封装的VO20封装。 内部控制信号、数据信号、
时钟信号和JTAG信号的整体结构如图2-19所示。
可编程逻辑器件设计方法
Xilinx公司PROM器件- XCFXXP系列
XCFXXP系列有XCP08P、XCF16P和XCF32P(容量分
别为:8Mb、16Mb和32Mb),其共同特征有1.8V核电
压、串行或并行配置接口、设计修订、内嵌的数据压缩
器、FS48封装或VQ48封装和内嵌振荡器。 内部控制信
号、数据信号、时钟信号和JTAG信号的整体结构如图220所示,其先进的结构和更高的集成度在使用中带来了
极大的灵活性。
可编程逻辑器件设计方法
Xilinx公司PROM器件- XCFXXS系列
可编程逻辑器件设计方法
Xilinx公司PROM器件- XCFXXS系列
值得一提的是 系列设计修正和数据压缩这两个功能。
设计修订功能在FPGA加电启动时改变其配置数据,
根据所需来改变FPGA的功能,允许用户在单个PROM中
将多种配置存储为不同的修订版本,从而简化FPGA配置
更改,在FPGA内部加入少量的逻辑,用户就能在PROM
中存储多达4个不同修订版本之间的动态切换。
数据压缩功能可以节省PROM的空间,最高可节约
50%的存储空间,从而降低成本,是一项非常实用的技
术。当然如果编程时在软件端采用了压缩模式,则需要一
定的硬件配置来完成相应的解压缩。
习题
1、说明可编程逻辑器件的两个基本部分。
2、说明可编程逻辑器件的不同分类方法。
3、说明CPLD的工作原理。
4、说明FPGA的工作原理。
5、说明FPGA和CPLD的主要区别。
6、说明在使用FPGA/CPLD进行设计时,芯片选择
的原则。
7、说明Xilinx的主要产品,并举例说明其中一款产
品的性能和优势。