Transcript Document

10
카운터 (Counter)
IT CookBook, 디지털 논리회로
학습목표
비동기식, 동기식 카운터의 설계 과정 및 동작을
이해한다.
링 카운터와 존슨 카운터의 동작을 이해한다.
IC 카운터를 이용하여 다양한 형태의 카운터를
설계할 수 있는 능력을 배양한다.
카운터의 주요 응용으로서 디지털 시계와 주파수
카운터의 동작 원리를 이해한다.
목차
1.
2.
3.
4.
5.
비동기 카운터
동기 카운터
기타 카운터
IC 카운터
카운터의 응용
Section 01 비동기식 카운터
 비동기 카운터는 첫 번째 플립플롭의 CP(clock pulse) 입력에만 클록펄스
가 입력되고, 다른 플립플롭은 각 플립플롭의 출력을 다음 플립플롭의 CP
입력으로 사용한다.
 즉, 플립플롭의 출력 전이가 다른 플립플롭을 트리거시키는 원인으로 작
용한다.
 비동기 카운터는 리플(ripple) 카운터라고도 부른다.
 카운터에서 구별되는 상태의 수가 m일 때 modulo- m(간단히 mod- m;
m 진)의 카운터이다.
 비동기 카운터는 J-K 플립플롭 또는 T 플립플롭을 사용하여 구성
 카운터는 상향 카운터(up counter)와 하향 카운터(down counter)가 있
다.
Section 01 비동기식 카운터
1. 상향 비동기식 카운터
 4비트 2진 상향 카운터
클록펄스
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
QD
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
계수 상태
QC
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
QB
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
QA
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
10진수
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
Section 01 비동기식 카운터
 각 플립플롭은 클록펄스의 하강에지에서 변화한다.
 QA에서는 입력 클록 주파수의 1/2, QB에서는 1/4, QC에서는 1/8, QD에서는
1/16의 주파수를 갖는 구형파가 얻어진다.
논리 회로도
CP
QA
QB
QC
QD
0
0
0
0
1
0
0
0
0
1
0
0
1
1
0
0
0
0
1
0
1
0
1
0
0
1
1
0
1
1
1
0
0
0
0
1
1
0
0
1
0
1
0
1
1
1
0
1
0
0
1
1
1
0
1
1
0
1
1
1
1
1
1
1
0
0
0
0
상태 0
1
2
3
4
5
6
7
8
9 10 11 12 13 14 15 0
타이밍 도
Section 01 비동기식 카운터
상태도
4비트 2진 상향 카운터(상승 에지 트리거)
Section 01 비동기식 카운터
2. 하향 비동기식 카운터
 4비트 2진 하향 카운터
클록펄스
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
QD
1
1
1
1
1
1
1
1
0
0
0
0
0
0
0
0
QC
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
QB
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
계수 상태
10진수
QA
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1
0
Section 01 비동기식 카운터
 각 플립플롭은 클록펄스의 상승에지에서 변화.
 QA에서는 입력 클록 주파수의 1/2, QB에서는 1/4, QC에서는 1/8, QD에서는
1/16의 주파수를 갖는 구형파가 얻어진다.
논리 회로도
CP
QA
QB
QC
QD
상태
0
0
0
0
1
1
1
1
15
0
1
1
1
14
1
0
1
1
13
0
0
1
1
12
1
1
0
1
11
0
1
0
1
10
1
0
0
1
9
0
0
0
1
8
1
1
1
0
7
0
1
1
0
6
1
0
1
0
5
0
0
1
0
4
1
1
0
0
3
0
1
0
0
2
1
0
0
0
1
0
0
0
0
0
1
1
1
1
15
타이밍 도
Section 01 비동기식 카운터
상태도
4비트 2진 하향 카운터(하강 에지 트리거)
Section 01 비동기식 카운터
3. 비동기 상향/하향 카운터
 S=0으로 하면 MUX의 입력 I0와 출력 F가 연결 : 상향 카운터
 S=1로 하면 MUX의 입력 I1 과 출력 F가 연결 : 하향 카운터
비동기 4비트 상향/하향 카운터
Section 01 비동기식 카운터
4. modulo-m 비동기 카운터
 비동기 10진 카운터(BCD 카운터, decade counter)
 0에서 9까지의 카운트를 반복
 BCD 카운터를 구성하려면 4개의 플립플롭이 필요
 16개의 상태 중에서 10개의 상태만을 사용
상태표
클록펄스
1
2
3
4
5
6
7
8
9
10
QD
0
0
0
0
0
0
0
0
1
1
QC
0
0
0
0
1
1
1
1
0
0
QB
0
0
1
1
0
0
1
1
0
0
QA
0
1
0
1
0
1
0
1
0
1
10진수
0
1
2
3
4
5
6
7
8
9
Section 01 비동기식 카운터
 카운터 출력이 (목표하는 최고 카운트)+1에 도달한 순간을 포착하여 모든
플립플롭을 0으로 Clear
 QB와 QD 출력을 NAND 게이트로 결합하고 그 출력을 모든 플립플롭이
clear 입력에 연결
CP
QA
QB
QC
QD
CLR
glitch
glitch는 카운
터의 오동작
원인이 될 수
있다.
Section 01 비동기식 카운터
 3 자리 10진 카운터의 블록도
 3 자리 10진수인 000~999까지 카운트할 수 있는 카운터
Section 01 비동기식 카운터
5. 프리세트 카운터
 0보다 큰 수로부터 카운터를 시작할 수 있다.
 LOAD=0 : 정상적인 상향 카운터로 동작
 LOAD=1 : 프리세트 입력으로 초기화.(PA PB PC=010 이면, QA QB QC=010)
PA
PB
PC
LOAD
QA
QB
QC
1
JA
PR
Q
JB
PR
Q
JC
PR
CP
KA
CLR
FF-A
KB
CLR
FF-B
KC CLR
FF-C
Q
Section 01 비동기식 카운터
 이제 LOAD=0으로 하면 카운트 시작.
시작
000
001
010
011
100
101
110
111
 아래의 회로를 추가하여 modulus를 가변.
 QA QB QC =000일 때에 한해서 NOR 게이트의 출력 즉, LOAD=1이 되고, 기타
의 경우에는 출력이 0이 된다. 따라서 PA PB PC =010으로 설정하고 카운터가
계수를 하여 QA QB QC = 000이 되는 순간 카운터의 출력은 010으로 프리세트
된다.
010
011
100
101
110
111
Section 01 비동기식 카운터
 Modulus 설정방법
(프리세트 카운터의 modulus) = (최대 modulus 2n ) - (프리세트된 수)
여기서 n은 카운터에서의 플립플롭의 수
Section 02 동기식 카운터
 플립플롭에서의 전파지연 tPD인 경우 n개의 플립플롭을 종속 연결한 비동
기 카운터의 전체 전파지연은 ntPD 가 된다.
 이러한 지연 때문에 입력 클록펄스를 모든 플립플롭에 공통으로 인가하는
동기식 카운터를 사용.
1. 2비트 동기식 2진 카운터
00
01
현재상태 차기상태
11
10
상태도
플립플롭 입력
QB
QA
QB
QA
JB
KB
JA
KA
0
0
1
1
0
1
0
1
0
1
1
0
1
0
1
0
0
1
x
x
x
x
0
1
1
x
1
x
x
1
x
1
상태 여기표
Section 02 동기식 카운터
QA
QB
0
1
0
1
QA
X
QB
1
0
X
1
J B  QA
1
X
X
1
JA
FF-A
1
0
1
X
1
1
X
K B  QA
Q
KA
0
QB
Q
QA
0
1
0
X
1
1
X
1
QB
KA 1
JA 1
QA(LSB)
1
CP
0
QA
QB
JB
Q
KB
FF-B
Q
CP
QA
0
1
0
1
0
QB
0
t1
0
t2
1
t3
1
t4
0
2비트 동기식 카운터 회로 및 타이밍 도
Section 02 동기식 카운터
2. 3비트 동기식 2진 카운터
 J-K 플립플롭을 사용하여 설계
000
111
001
010
110
011
101
100
3비트 동기 2진 카운터의 상태도
Section 02 동기식 카운터
현재상태
QC QB QA
0
0
0
0
0
1
0
1
0
0
1
1
1
0
0
1
0
1
1
1
0
1
1
1
QBQA
00
QC
01
0
1
11
10
1
X
X
X
J C  QB Q A
차기상태
QC QB QA
0
0
1
0
1
0
0
1
1
1
0
0
1
0
1
1
1
0
1
1
1
0
0
0
QBQA
00
QC
0
X
1
X
플립플롭 입력
KC JB KB JA
x
0
x
1
x
1
x
x
x
x
0
1
x
x
1
x
0
0
x
1
0
1
x
x
0
x
0
1
1
x
1
x
JC
0
0
0
1
x
x
x
x
01
11
10
X
X
X
1
K C  QB QA
QBQA
00
QC
KA
x
1
x
1
x
1
x
1
01
11
10
0
1
X
X
1
1
X
X
J B  QA
Section 02 동기식 카운터
QBQA
00
QC
01
11
QBQA
00
QC
10
01
11
10
QBQA
00
QC
01
11
10
0
X
X
1
0
1
X
X
1
0
X
1
1
X
1
X
X
1
1
1
X
X
1
1
X
1
1
X
K B  QA
KA 1
JA 1
QA(LSB)
QB
QC
1
JA
JB
Q
KA
KB
Q
FF-A
CP
JC
Q
KC
Q
FF-B
CP
QA
QB
QC
0
0
0
1
0
0
0
1
0
1
1
0
상태
0
1
2
3
Q
Q
FF-C
0
0
1
0
1
4
1
5
0
1
1
6
1
1
1
7
0
0
0
0
3비트 동기식 카운터 회로 및 타이밍 도
JA 1
KA 1
J B  QA
K B  QA
J C  QB Q A
K C  QB QA
Section 02 동기식 카운터
3. 4비트 동기식 2진 카운터
 J-K 플립플롭을 사용하여 설계
1111
0000
0001
0010
1110
1101
0011
1100
0100
1011
0101
1010
0110
1001
1000
0111
4비트 동기식 2진 카운터의 상태도
Section 02 동기식 카운터
현재 상태
차기 상태
플립플롭 입력
QD QC QB QA QD QC QB QA JD KD JC KC JB KB JA KA
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
0
0
0
0
0
0
0
1
×
×
×
×
×
×
×
×
×
×
×
×
×
×
×
×
0
0
0
0
0
0
0
1
0
0
0
1
×
×
×
×
0
0
0
1
×
×
×
×
×
×
×
×
0
0
0
1
×
×
×
×
0
0
0
1
0
1
×
×
0
1
×
×
0
1
×
×
0
1
×
×
×
×
0
1
×
×
0
1
×
×
0
1
×
×
0
1
1
×
1
×
1
×
1
×
1
×
1
×
1
×
1
×
×
1
×
1
×
1
×
1
×
1
×
1
×
1
×
1
Section 02 동기식 카운터
QBQA
00
QDQC
00
01
01
11
10
QBQA
00
QDQC
00 X
01
X
11
X
10
X
X
X
X
X
01
1
11
X
X
X
X
11
10
X
X
X
X
10
1
K D  QC QB QA
J D  QC QB QA
QBQA
00
QDQC
00
01
11
1
10
QBQA
00
QDQC
00 X
01
X
X
X
X
01
1
11
X
X
X
X
11
1
10
X
J C  QB Q A
10
X
01
X
X
11
X
X
K C  QB QA
10
X
X
Section 02 동기식 카운터
QBQA
00
QDQC
00
10
X
QBQA
00
QDQC
00 X
01
1
11
X
01
X
11
1
01
1
X
X
01
X
X
1
11
1
X
X
11
X
X
1
10
1
X
X
10
X
X
1
K B  QA
J B  QA
QBQA
00
QDQC
00 1
01
X
11
X
01
1
X
11
1
10
1
10
10
1
QBQA
00
QDQC
00 X
01
1
11
1
10
X
X
1
01
X
1
1
X
X
X
1
11
X
1
1
X
X
X
1
10
X
1
1
X
JA 1
KA 1
Section 02 동기식 카운터
QB
QA(LSB)
QD
QC
1
JA
KA
Q
JB
Q
KB
JD
Q
KC
Q
KD
Q
Q
JA 1
KA 1
Q
K B  QA
J B  QA
FF-D
FF-C
FF-B
FF-A
CP
JC
Q
논리 회로도
CP
QA
QB
QC
QD
J D  QC QB QA
K D  QC QB QA
0
0
0
0
1
0
0
0
0
1
0
0
1
1
0
0
0
0
1
0
1
0
1
0
0
1
1
0
1
1
1
0
0
0
0
1
1
0
0
1
상태 0
1
2
3
4
5
6
7
8
9 10 11 12 13 14 15 0
타이밍 도
0
1
0
1
J C  QB Q A
K C  QB QA
1
1
0
1
0
0
1
1
1
0
1
1
0
1
1
1
1
1
1
1
0
0
0
0
Section 02 동기식 카운터
4-비트 동기식 2진 카운터의 상태표
클록펄스
QD
QC
QB
QA
10 진수
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
0
Section 02 동기식 카운터
 n-비트 동기 2진 카운터




상태표로부터 플립플롭의 입력함수를 추정할 수 있다.
하위의 모든 출력이 1일 때, 각 출력은 0은 1로, 1은 0으로 변화한다.
토글동작이 필요할 때, J와 K 입력은 모두 1이 되어야 한다.
따라서 플립플롭의 입력 함수는 간단하게 하위비트의 논리적 AND이다.
JA  KA 1
J B  K B  QA
J C  K C  QB QA
J D  K D  QC QB QA
J E  K E  QDQC QB QA
J F  K F  QE QD QC QB QA
Section 02 동기식 카운터
4. 동기식 BCD 카운터
 동기식 BCD 카운터의 상태도
1001
0000
0001
1000
0010
0111
0011
0110
0100
0101
Section 02 동기식 카운터
 동기식 BCD 카운터의 상태 여기표
 자리 올림수 출력 C는 BCD 카운터의 계수가 9(1001)가 되었을 때 논리 1이
되도록 한다.
현재상태
QD QC QB
0
0
0
0
0
0
0
0
1
0
0
1
0
1
0
0
1
0
0
1
1
0
1
1
1
0
0
1
0
0
차기상태
QA QD QC QB
0
0
0
0
1
0
0
1
0
0
0
1
1
0
1
0
0
0
1
0
1
0
1
1
0
0
1
1
1
1
0
0
0
1
0
0
1
0
0
0
플립플롭 입력
QA
1
0
1
0
1
0
1
0
1
0
JD
0
0
0
0
0
0
0
1
x
x
KD
x
x
x
x
x
x
x
x
0
1
JC
0
0
0
1
x
x
x
x
x
0
KC
x
x
x
x
0
0
0
1
x
x
JB
0
1
x
x
0
1
x
x
0
0
KB
x
x
0
1
x
x
0
1
x
x
출력
JA
1
x
1
x
1
x
1
x
1
x
KA
x
1
x
1
x
1
x
1
x
1
C
0
0
0
0
0
0
0
0
0
1
Section 02 동기식 카운터
 카르노 맵
QBQA
00
QDQC
00
01
01
11
10
1
QBQA
00
QDQC
00 X
01
X
11
X
10
X
01
X
X
X
X
X
X
X
X
1
X
X
11
X
X
X
X
11
10
X
X
X
X
10
01
X
01
11
X
10
X
1
11
1
10
01
X
X
X
X
11
X
X
X
X
10
X
0
X
X
10
X
01
X
11
1
X
X
01
X
X
1
X
X
11
X
X
X
X
X
X
10
X
X
X
X
01
1
11
X
01
1
X
X
X
X
X
11
10
X
X
X
X
10
X
J C  QB QA
Q BQ A
00
QDQC
00 X
QBQA
00
QDQC
00
11
K C  QB QA
01
K D  QA
J D  QC QB QA
QBQA
00
QDQC
00 X
QBQA
00
QDQC
00
J B  Q DQA
K B  Q DQA
10
Section 02 동기식 카운터
QBQA
00
QDQC
00 1
01
X
11
X
01
1
X
11
X
10
1
Q BQ A
10
1
QBQA
00
QDQC
00 X
01
1
11
1
10
X
X
1
01
X
1
1
X
01
X
X
X
11
X
X
X
X
11
X
X
X
10
X
1
X
X
10
JA 1
KA 1
QDQC
00
01
11
10
X
X
X
X
1
X
X
00
C  QDQA
Section 02 동기식 카운터
 동기식 BCD 카운터 회로도
QA(LSB)
QB
QC
QD(MSB)
1
C
CP
JA
Q
JB
Q
JC
Q
JD
Q
KA
Q
KB
Q
KC
Q
KD
Q
FF-A
JA 1
KA 1
C  QDQA
FF-B
J B  Q DQA
K B  Q DQA
FF-C
FF-D
J C  QB QA
J D  QC QB QA
K C  QB QA
K D  QA
Section 02 동기식 카운터
5. 3비트 동기식 상향/하향 카운터
 외부 입력 x=0 : 증가 카운터
 외부 입력 x=1 : 감소 카운터
 3 비트 동기식 상향/하향 카운터의 상태도
1/
0/
001
1/
1/
000
0/
111
1/
0/
0/
010
1/
110
0/
0/
011 0/
1/
101
0/
100
1/
1/
Section 02 동기식 카운터
 3비트 동기식 상향/하향 카운터의 상태 여기표
현재상태
QCQBQA
0
0
0
0
0
0
0
0
1
1
1
1
1
1
1
1
0
0
0
0
1
1
1
1
0
0
0
0
1
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
1
1
입력
x
0
1
0
1
0
1
0
1
0
1
0
1
0
1
0
1
차기상태
QCQBQA
0
1
0
0
0
0
1
0
1
0
1
1
1
1
0
1
0
1
1
0
1
0
0
1
0
1
1
0
1
0
0
1
1
1
0
0
1
1
0
0
1
1
0
0
1
1
0
0
JC
플립플롭 입력
KC
JB
KB
JA
KA
0
1
0
0
0
0
1
0
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
X
0
1
0
0
0
0
1
0
X
X
1
1
X
X
1
1
X
X
1
1
X
X
1
1
0
1
1
0
X
X
X
X
0
1
1
0
X
X
X
X
X
X
X
X
0
1
1
0
X
X
X
X
0
1
1
0
1
1
X
X
1
1
X
X
1
1
X
X
1
1
X
X
Section 02 동기식 카운터
 카르노 맵
QAx
00
Q CQ B
00
01
1
11
10
QAx
00
Q CQ B
00 X
01
X
11
X
1
01
X
X
01
11
X
X
X
X
11
10
X
X
X
X
10
J C  QBQA x  Q B Q A x
QAx
00
Q CQ B
00 X
01
X
01
11
10
X
X
11
X
1
1
01
1
1
1
1
11
1
X
10
1
K B  QA x  Q A x
10
1
X
01
X
X
X
X
1
11
X
X
X
X
KC  QBQA x  Q B Q A x
01
1
X
11
10
10
X
X
01
1
1
QAx
00
Q CQ B
00 1
11
X
10
X
QAx
00
Q CQ B
00
1
1
J B  QA x  Q A x
10
X
QAx
00
Q CQ B
00 X
01
X
11
1
10
1
X
X
01
X
X
1
1
1
X
X
11
X
X
1
1
1
X
X
10
X
X
1
1
JA 1
KA 1
Section 02 동기식 카운터
 3비트 동기식 상향/하향 카운터의 회로도
QA(LSB)
QB
QC
x
1
JA
QA
JB
QB
JC
QC
KA QA
KB QB
KC QC
FF-A
FF-B
FF-C
CP
JA 1
J B  QA x  Q A x
J C  QBQA x  Q B Q A x
KA 1
K B  QA x  Q A x
KC  QBQA x  Q B Q A x
Section 02 동기식 카운터
6. 주파수 분할
16진 카운터 블록도
(mn)분주회로 개념도
Section 03 기타 카운터
1. 링 카운터
 임의의 시간에 한 개의 플립플롭만 논리 1이 되고 나머지 플립플롭은 논
리 0이 되는 카운터
 논리 1은 입력펄스에 따라 그 위치가 한쪽 방향으로 순환
 상태도
1000
0100
0001
0010
Section 03 기타 카운터
 상태 여기표
현재상태
차기상태
QA QB QC QD
QA QB QC QD
DA
DB
DC
DD
1
0
0
0
0
0
0
1
0
0
0
1
1
0
0
0
0
1
0
0
0
0
1
0
0
1
0
0
0
0
1
0
0
0
0
1
1
0
0
0
0
1
0
0
플립플롭 입력
0
0
1
0
Section 03 기타 카운터
 카르노 맵
Q CQ D
00
QAQB
00 X
01
1
11
X
01
X
11
X
10
10
Q CQ D
00
QAQB
00 X
01
11
X
10
X
X
01
X
X
X
X
X
X
11
X
X
X
X
X
X
X
10
1
X
X
X
DB  QA
DA  QD
Q CQ D
00
QAQB
00 X
01
11
X
01
1
X
11
X
10
10
Q CQ D
00
QAQB
00 X
01
11
X
10
1
X
X
01
X
X
X
X
X
X
11
X
X
X
X
X
X
10
X
X
X
DC  QB
X
DD  QC
Section 03 기타 카운터
 처음에 Clear 단자를 논리 0으로 하여 모든 플립플롭의 출력을 0으로 한 다
음 처음 플립플롭의 출력 을 1로 세트하고 Clear 단자를 다시 논리 1로 하면
링 카운터의 최초의 출력은 QA QB QC QD =1000이다.
 이 후부터 클록펄스가 입력될 때마다 클록펄스의 상승 에지에서 오른쪽으로
한 자리씩 이동을 하며, QD 의 출력은 다시 DA 로 입력된다.
QA
DA PR Q
CP
CLR
Clear
CP
QA
QB
QC
QD
QB
DB
Q
QC
DC
Q
QD
DD
Q
DA  QD
DB  QA
DC  QB
DD  QC
Section 03 기타 카운터
 링 카운터 응용 : 커피 자판기(vending machine)
동작순서
[단계
[단계
[단계
[단계
[단계
[단계
[단계
[단계
1]
2]
3]
4]
5]
6]
7]
8]
동전이 들어오는 것을 기다린다.
동전을 확인하고 적절한 잔돈을 돌려준다.
선택스위치(블랙, 크림, 및 설탕)을 읽어라.
공급창(커피를 빼내는 곳)에 종이컵을 떨어뜨린다.
종이컵에 인스턴트 커피를 붓는다.
선택된([단계 3])대로 크림이나(과) 설탕을 추가하라.
컵에 뜨거운 물을 붓는다.
물, 커피, 크림 및 설탕이 적절히 공급되었는지를 검사한다.
만약 적으면 적당한 메시지를 보이게 한다.
[단계 9] 1 단계로 간다.
Section 03 기타 카운터
 처음에 CLR 를 논리 0으로 하여 QA=1이 되고 QB=QC=…QH=0이 된다. 이제
CLR 를 논리 1로 한다.
링 카운터를 응용한 커피자판기 동작도
Section 03 기타 카운터
2. 존슨 카운터
 n개의 플립플롭으로 구성된 링 카운터는 n 가지의 서로 다른 상태를 출력
 존슨 카운터는 2n 가지의 서로 다른 상태를 출력
QA
DA
CP
Q
QB
DB
Q
QC
DC
Q
QD
DD
Q
Q
CP
QA
QB
QC
QD
Section 03 기타 카운터
4비트 존슨 카운터의 상태표
클록펄스
QA
QB
QC
QD
10진수
1
2
3
4
5
6
7
8
1
1
1
1
0
0
0
0
0
1
1
1
1
0
0
0
0
0
1
1
1
1
0
0
0
0
0
1
1
1
1
0
8
12
14
15
7
3
1
0
 존슨 카운터의 단점은 사용되지 않는 초기상태가 주어지면 사용되지 않는
계수의 순서만이 계속하여 반복하게 된다. 이 단점은 회로에서 세 번째 플
립플롭의 입력을 다음 부울함수로 수정하면 해결할 수 있다.
DC  (QA  QC )QB
Section 04 IC 카운터
1. IC 비동기식 카운터
 7493(16진 비동기 상향 카운터)




2진 카운터와 8진 카운터가 독립적으로 내장
2진 카운터 : 입력은 Input A이고 출력은 QA.
8진 카운터 : 입력은 Input B이고 출력은 QDQCQB.
16진 카운터 : 입력을 Input A에 넣고, QA를 Input B에 연결하고 출력은
QDQCQBQA 에서 얻는다.
7493 핀 배치도
Section 04 IC 카운터
 7492(12진 비동기 상향 카운터)
 2진 카운터(mod-2)와 6진 카운터(mod-6)가 독립적으로 내장
 사용법은 7493에 준한다.
 7490(10진 비동기 상향 카운터)
 2진 카운터(mod-2)와 5진 카운터(mod-6)가 독립적으로 내장
 사용법은 7493에 준한다.
7490 핀 배치도
Section 04 IC 카운터
2. IC 동기식 카운터
 74163(synchronous presettable mod-16 counter
with synchronous clear)
 74163은 4-비트 동기 2진 카운터로서 4개의 D 플립플롭으로 구성되며, 4
비트의 병렬입력과 병렬출력이 있다.
CLR LOAD ENP,ENT
0
1
1
1
X
0
1
1
X
X
0
1
기능
플립플롭이 clear된다.
병렬입력이 수행된다.
불변상태가 된다.
카운터가 동작한다.
74163 핀 배치도
Section 04 IC 카운터
 ENP와 ENT 입력 및 RCO 출력은 더 높은 계수순서를 갖는 카운터를 설계
할 때 사용
D
1
C
B
A
ENP
RCO
D
1
ENT
1
ENP
B
A
RCO
ENT
1
CLEAR
1
C
74163/
74161
LOAD
1
8-비트 카운터
CLEAR
LOAD
74163/
74161
CP
QD QC QB QA
QD QC QB QA
 임의의 modulus 카운터로 사용 가능
0
D
1
1
CP
1
C
ENP
ENT
CLEAR
LOAD
0
B
D
1
C
B
A
A
RCO
1
ENP
RCO
ENT
CLEAR
74163/
74161
1
CP
LOAD
74163/
74161
QD QC QB QA
QD Q C Q B Q A
Mod-11 카운터
Mod-13 카운터
Section 04 IC 카운터
 74162(synchronous presettable BCD counter with asynchronous clear)
 핀 기능, 동작, 사용법 등이 74163과 같으며, 74163은 4비트 동기 16진 카
운터이지만, 74162는 4비트 10진 동기 카운터이다.
 74161 (synchronous presettable mod-16 counter with asynchronous clear)
 핀 기능, 동작, 사용법 등이 74163과 같은 presettable 16진 동기식 상향
카운터이다. 또한 비동기적인 클리어 입력을 갖는다.
 74160 (synchronous presettable BCD counter with asynchronous clear)
 74160은 74161과 동일한 입력과 출력을 가지며, 74161은 4비트 동기 16
진 카운터이지만, 74160은 4비트 10진 동기 카운터이다.
Section 04 IC 카운터
 74169(Synchronous presettable up/down mod-16 counter)
 16진 상향/하향 동기식 카운터이다.
 제어입력 U/ D 를 논리 1로 하면 상향 카운터, 논리 0으로 하면 하향 카운터
로 동작
 프리세트 데이터 입력 DCBA는 LOAD 를 논리 0으로 할 때 클록펄스의 상
승 에지에서 출력을 프리세트시킨다. 카운트가 일어나려면 ENP와 ENT가
둘 다 논리 0으로 되어야 한다.
 출력 QD, QC, QB, QA가 상향 모드 시에는 1111, 하향 모드 시에는 0000에 도
달하면 RCO(ripple carry output)가 논리 0이 된다.
74169 핀 배치도
Section 04 IC 카운터
 74168(synchronous presettable up/down BCD counter)
 10진의 단일 modulus를 가지며 동작은 74169에 준한다.
 74190(presettable synchronous up/down BCD counter)
 핀 기능, 동작, 사용법 등이 74163과 같은 presettable 16진 동기식 상향
카운터이다. 또한 비동기적인 클리어 입력을 갖는다.
LOAD ENABL DOWN/UP
E
0
1
1
1
X
0
1
1
X
X
0
1
기능
증가 카운터로 동작한다.
감소 카운터로 동작한다.
병렬입력이 수행된다.
불변상태가 된다.
74190 핀 배치도
Section 04 IC 카운터
 74191(presettable synchronous up/down mod-16 counter)
 74191은 4-비트 16진 상향/하향 동기식 카운터로서 핀 배치도는 74190과
같다.
 CTEN =0이면 계수가능 상태이고, 1이면 계수정지 상태가 된다.
 D/ U =0이면 상향 카운터로 동작하고, 1이면 하향 카운터로 동작한다.
 이 외의 모든 동작은 74190에 준한다.
Section 05 카운터의 응용
1. 디지털 시계
발진
회로
분주
회로
카운터
회로
디코더
회로
표시
회로
디지털 시계의 블록 다이어그램
 발진회로
 디지털 시계에 안정적인 클록(clock)을 제공할 목적으로 설계되는 회로
– 첫 번째 방법 : 가정용 220[V] 전원의 안정된 60Hz의 주파수를 이용
– 두 번째 방법 : CR 발진회로를 이용하는 방법
– 세 번째 방법 : 수정 발진자(crystal oscillator)를 사용하는 방법
Section 05 카운터의 응용
수정 발진자를 사용한 회로
CR 발진회로
 분주회로
 발진회로로부터 얻어진 구형파를 이용하여 디지털 시계의 기본 단위인 1초
를 나타내기 위한 1Hz 주파수를 얻는 회로
60Hz
60Hz
슈미트
트리거
6Hz
÷10
7490
1Hz
÷6
7492
60Hz 정현파에서 1Hz 구형파를 얻는 회로
Section 05 카운터의 응용
CP
CLK
RES
4020
Q14 Q13 Q12 Q11 Q10 Q9 Q8 Q7 Q6 Q5 Q4 Q1
÷2
÷ 24
÷210
÷ 25
÷ 211
4020을 이용하여 1Hz 구형파를 얻는 회로
카운터 회로의 블록도
Section 05 카운터의 응용
1Hz
7492(÷ 6)
7490(÷10)
QD QC QB Q A
QD QC QB QA
7447
또는
7448
7447
또는
7448
a b c d e f g
분, 초 단위의 카운터 디코더 및
드라이브 회로
a b c d e f g
R0(1)
R0(2)
시 단위의 카운터, 디코더
및 드라이브 회로
7492(÷2)
R0(1)
7490(÷10)
R0(2)
QD QC QB QA
Q D QC QB Q A
7447
또는
7448
7447
또는
7448
a b c d e f g
a b c d e f g
1펄스/시간
Section 05 카운터의 응용
디지털 시계의 전체 회로도
+5V
+5V
+5V
+5V
+5V
+5V
330
330
330
330
330
330
a
f
SND517
SND517
SND517
SND517
SND517
e
a
b
c
d
e
f
g
13 12 11 10 9 15 14
a
b
c
d
e
f
g
13 12 11 10 9 15 14
a
b
c
d
e
f
g
13 12 11 10 9 15 14
a
b
c
d
e
f
g
a
13 12 11 10 9 15 14
b
c
d
e
f
g
a
13 12 11 10 9 15 14
b
g
b
d
c
c
d
e
f
A B C D E F G
A B C D E F G
A B C D E F G
A B C D E F G
A B C D E F G
A B C D E F G
BI
/R
B R
1 2 4 8 O BI LI
BI
/R
B R
1 2 4 8 O BI LI
BI
/R
B R
1 2 4 8 O BI LI
BI
/R
B R
1 2 4 8 O BI LI
BI
/R
B R
1 2 4 8 O BI LI
BI
/R
B R
1 2 4 8 O BI LI
7447
7 1 2 6 4 5 3
7447
7447
7 1 2 6 4 5 3
7 1 2 6 4 5 3
7447
7447
7 1 2 6 4 5 3
g
13 12 11 10 9 15 14
7447
7 1 2 6 4 5 3
7 1 2 6 4 5 3
2
13
1
12 11 9
Q Q Q Q
A B C D
12
10
11
9
4
3
7492
R0 R0
(1) (2)
A B
14 1
8
12 9 8 11
6 7
Q Q Q Q
A B C D
A B
14 1
12 11 9
7490
R0 R0 R9 R9
(1) (2) (1) (2)
2 3 6 7
12 9 8 11
Q Q Q Q
A B C D
7492
R0 R0
(1) (2)
A B
14 1
6 7
Q Q Q Q
A B C D
A B
14 1
12 11 9
12 9 8 11
Q Q Q Q
A B C D
7490
R0 R0 R9 R9
(1) (2) (1) (2)
7492
R0 R0
(1) (2)
A B
2 3 6 7
Q Q Q Q
A B C D
14 1
R0 R0 R9 R9
(1) (2) (1) (2)
A B
6 7
14 1
2 3 6 7
6
S1
5
S2
7410
hour
74HC04 : GND(7), Vcc(14)
74LS10 : GND(7), Vcc(14)
74LS47 : GND(8), Vcc(16)
74LS90 : GND(10), Vcc(5)
74LS92 : GND(10), Vcc(5)
4020 : GND(8), Vcc(16)
minite
10
11
1Hz
2Hz
15
Q11
14
Q10
1
100K
2
3
74HC04
0.01F
4020
100K
4
5
7490
6
Section 05 카운터의 응용
2. 주파수 카운터
 임의의 주기적인 파형의 주파수(frequency)를 측정하는 디지털 기기
 측정 주파수는
Frequency
Counter Output
t
 t=1초이면 표시된 수치가 곧 주파수가 된다.
 t=10초이면 소수점을 한 자리 높인다.
 t=0.1초이면 소수점을 한 자리 낮춘다.
미지입력
증폭기
클록
발진기
분주기
카운터
t
gate enable
주파수 카운터의 블록도
Section 05 카운터의 응용
+5V
330
+5V
330
+5V
330
+5V
330
+5V
330
+5V
330
f
SND517
e
a
b
c
d
e
f
g
a
13 12 11 10 9 15 14
b
c
d
e
f
g
b
c
d
e
f
g
a
13 12 11 10 9 15 14
b
c
d
e
f
g
a
13 12 11 10 9 15 14
b
c
d
e
f
g
a
13 12 11 10 9 15 14
b
c
b
d
c
d
e
f
A B C D E F G
A B C D E F G
A B C D E F G
A B C D E F G
A B C D E F G
BI
/R
B R
8 4 2 1 O BI LI
BI
/R
B R
8 4 2 1 O BI LI
BI
/R
B R
8 4 2 1 O BI LI
BI
/R
B R
8 4 2 1 O BI LI
BI
/R
B R
8 4 2 1 O BI LI
BI
/R
B R
8 4 2 1 O BI LI
7447
6 2 1 7 4 5 3
6 2 1 7 4 5 3
9 10 15 16
7475
7 6 3 2
4 13
enable
7 6 3 2
4 13
+5V
11 12 13 14
QD QC QB QA
74160
CLK
7 9
P L
D
10
T
11 12 13 14
QD Q C Q B Q A
15
J
16
Gate 신호
Q
Q 14
1
+5V
7
10
3
0.01F
74LS04
CLK
CLK
2
D3 D2 D1 D0
4 13
7 6 3 2
7475
enable
4 13
+5V
11 12 13 14
QD QC QB QA
15
74160
RCO
CLK
CLR
1
7 9
P L
D
10
T
enable
7 6 3 2
4 13
+5V
11 12 13 14
QD QC QB QA
15
74160
RCO
CLK
CLR
1
2
D3 D2 D1 D0
7 9
P L
D
10
T
+5V
15
11 12 13 14 7 10 9
QD QC QB QA P T L
D
CLK
CLR
1
2
74160
RCO
2
CLR
1
3
count
gate
7408
1
2
2
1
7414
A1
Q
4
A2
5
B 74121
R=100k 11
Rext
C=20pF 10
Q 1
Cext
2K
2
74160
RCO
3
X-TAL 1MHz
2K
7475
enable
7 6 3 2
7 9
P L
D
10
T
QD QC QB QA
15
Q3 Q2 Q1 Q0
미지입력
+5V
7476
K
4 13
11 12 13 14
t
gate
enable
D3 D2 D1 D0
+5V
CLR
1
2
+5V
1
74160
RCO
strobe
4
7 9
P L
D
10
T
CLK
3
2
CLR PR 15
7 6 3 2
+5V
CLR
1
2
enable
9 10 15 16
Q3 Q2 Q1 Q0
7475
D3 D2 D1 D0
6 2 1 7 4 5 3
9 10 15 16
Q3 Q2 Q1 Q0
7475
D3 D 2 D 1 D 0
7447
6 2 1 7 4 5 3
9 10 15 16
Q3 Q2 Q1 Q0
7475
enable
7447
6 2 1 7 4 5 3
9 10 15 16
Q3 Q 2 Q 1 Q 0
D3 D2 D1 D0
7447
6 2 1 7 4 5 3
9 10 15 16
Q3 Q2 Q1 Q0
+5V
7447
g
13 12 11 10 9 15 14
A B C D E F G
7447
1K
a
13 12 11 10 9 15 14
g
4
1K
5
6
9
1
+5V
7
2
P CLK
T
15
RCO
10
9
LOAD
1
CLR
74160
RESET
2
P CLK
T
RCO
LOAD
CLR
74160
Reset용
+5V
7
15
10
9
1
2
P CLK
T
RCO
LOAD
CLR
74160
파형정형
+5V
7
15
10
9
1
2
P CLK
T
RCO
LOAD
CLR
+5V
7
15
10
9
1
74160
주파수 카운터 회로도
2
P CLK
T
RCO
LOAD
CLR
74160
+5V
7
10
15
9
1
2
P CLK
T
+5V
7
10
RCO
LOAD
CLR
74160
15
9
1
10Hz
1Hz
2
P CLK
T
RCO
LOAD
CLR
74160
15
0.1Hz
Section 05 카운터의 응용
시작
끝
시작
Gate
Enable
strobe
미지입력
CLK
RESET
주파수 카운터의 타이밍 도
끝
10장 카운터 끝