논리회로 설계실험

Download Report

Transcript 논리회로 설계실험

논리회로 설계실험
(Logic Design Laboratory)
담당교수 : 전재욱
논리회로 설계실험
담당교수 : 전재욱
○ 담당조교 : 석민식, 송지호 ( 400521 – 내선 7233 )
○ 강의시간 : 매주 목요일 ( 오후 6:00 ~ 9:45 )
○ 강 의 실 : 400102
○ 실 험 실 : 22207, 22211
○ 공지자료 : http://micro.skku.ac.kr
○ 메일
○
• 전재욱 교수 : [email protected]
• 석민식 조교 : [email protected]
• 송지호 조교 : [email protected]
2
논리회로 설계실험 목적
○
디지털 논리회로 기초 이론 정립
○
디지털 논리회로 실험
○
ISE, ModelSim 사용 방법 습득
○
VHDL을 이용한 논리회로 설계 및 검증
○
FPGA를 이용한 논리회로 검증
3
주요 실험 내역
○
Logic IC 이용한 회로 구성 실습
• AND, OR, NAND, XOR, Adder 등 조합논리 회로
• Flip-Flop, Counter, Decoder, Encoder 등 순차논리 회로
○
ISE, ModelSim을 이용한 논리회로 설계 및 검증
• Tool 사용 방법 습득
• Schematic 및 VHDL을 이용한 H/W 논리회로 설계 및 검증
○
실습 보드 사용 방법 습득 및 응용
• VHDL을 이용한 주변 장치 구동 실습
• 주변장치를 이용한 응용 논리회로 설계 응용
4
실습보드
• FPGA 모듈 :
Spartan-3(XC3S200-4PQ208C) 20만 게이트급
• Switch :
DIP Switch 1EA, Push Switch 3EA, Key-Pad 16EA
• 디스플레이 소자 :
LCD(16 Char X 2 Row), 7-Segment(6 Digit)
Dot-Matrix(16 Col X 16 Row),
Discrete LED(8 Bit)
• 사용자 메모리 :
SRAM 1Mbit -10ns
5
논리회로 설계실험 강의 일정
분류
소개 및 관련
툴 설명
조합논리
회로실험
순차논리
회로실험
중간고사
주
강의 내용
1
교과목 소개
2
ISE, ModelSim 소개 및 설치방법
3
기본 Gate 회로 설명 및 실습
4
Encoder, Decoder, Adder 회로 설명 및 실습
5
카르노 맵(Kamaugh Map) 설명 및 실습
6
Flip-Flop 회로 설명 및 실습
7
Count 회로 설명 및 실습
8
중간고사
6
논리회로 설계실험 강의 일정
분류
주
실습보드
소개
9
실습보드 소개, VHDL 문법 설명
10
실습1 - VHDL을 이용한 실습 H/W 구동 실험
11
실습2 - VHDL을 이용한 실습 H/W 구동 실험
12
실습3 - VHDL을 이용한 실습 H/W 구동 실험
13
Term Project 제안, 조별 주제 선정
14
Term Project 진행
15
Term Project 진행
16
기말고사
실습보드
실험
Term
Project
기말고사
강의 내용
7
강의자료 및 참고문서
○
강의 자료 배포
• http://micro.skku.ac.kr 의 Logic Design Laboratory
게시판에 PDF 또는 PPT 파일로 제공
○
참고문헌
• 디지털 논리회로 관련 국내/국외 서적
• VHDL 관련 국내/국외 서적
 Digital Systems Design Using VHDL(Charles H. Roth, Jr)
8