A Back-End Design Flow for Single Chip Radios

Download Report

Transcript A Back-End Design Flow for Single Chip Radios

IMPACT
Simulation of feature profile evolution for thin film processes
involving simultaneous deposition and etching
Nathan Marchack, Calvin Pham, John Hoang Prof. Jane P. Chang, University of California, Los Angeles
Workshop
April 2, 2010
2010 Main Objectives
Motivation
Back End of Line (BEOL)
Cu ionized PVD
Via etch: top view
Profile evolution
Singer, Peter, Semi. Int. 2008
Front end of Line (FEOL)
Selective High k
Gate Etch
High k
Porous Low
k
TEM Micrography of Current
Generation High k Devices
Poly
+
Fluxes*
IAD/IED
n
+
ne vs. Pabs
Surface
Advance
2D3D
+
ngCl vs. Pabs
+
Energy distribution
+
+
Individual Flux at
Cell Interface
Surface
Advancement
3-D profile evolution
+
SiO2
Henrik Schumacher, CMOS
Chip Structure.
www.wikimedia.org
Cell based Model
 Plasma 3. Simulation of Feature Profile Evolution – Use
experimental beam systems to measure the pertinent kinetics
parameters (such as sticking and recombination coefficients and
formulate reaction mechanisms) as well as reactor scale models (to
determine plasma parameters, such as wafer flux and ionization
fractions) to be incorporated into a Monte Carlo simulator to account
for surface evolution, especially with competing etching/deposition
processes
Gas flow rate
Current Generation
MOSFET Structure
Essential Elements
NiSi,
NiSi(Pt)
SiO2
Si
After Poly Etch
High k
Metal

Poly
SiO2
Expand kinetic database for profile evolution; validate using model
systems: Cu IPVD & High-k etch in Cl2 containing chemistries
SiO2
Si
Reaction
Kinetics
 TML
High k
After High k Etch
• Reactor scale model and PIC/analytical model provide fluxes, IED and IAD
• Surface normal determines the direction of surface advancement
• Translated mixed layer (TML) kinetics extracted from MD and beam data
Auth, C. et al. Intel Tech. Journal (2008)
Stringent control of feature shape and geometry as dimensions decrease
Lower process and development cost by predicting profile evolution
1
2
Method of Approach
DR
ERss
ER
DRps
E )es  Je ves Ses 1
1/ 2
ion
1/ 2
tr,s
Movement Flux
Translating Mixed Layer
rA1  sCl _ on _ Hf RCl xHf _ for _ Cl
rA2  sCl  RCl 
Cl( g )  Cl( s )
d ds ds 1
1/ 2
DR
JdvEdpth1/S,2pdp)ep2  Je vep Sep 2
ERpp JDi Cp pdp(Eion
11//22
1/ 2
11/ 2/ 2
EEtr1tr/1,s2/,s2))eses JJe veesvesSesSes11
ER
dsJipi Adpss(epEE
ion
dpESEthdpth1,/s,2s2))1eses2JJiiBB1ss((EEionion
DR
Jd vdp
ion
es pss D
1/ 2
1/ 2
1/ 2
Etr1/,s2 )es  Je ves Ses 1
ER
(epEion
JJddpvvEdsthSS,sds1)1es 2 Ji B
iA
s (Eion
DR
essssJdsD
1
DR
D
sssds
ds
d ds ds 1
1
/
2



DR
D ds (EJ1d/ 2vdsE
Sds11//221) J v S 
ER
ERspp  JJsiiC
Cpp (Eion
Ethth,,pp ) epep Jee vepep Sepep 22
ion
1/ 2
1/ 2
 Ji Cp (Eion
E p )ep  Je vep Sep 2
ER
DR
2
DRppp D
Dpp dpdp JJddvvdpdpthSS,dp
dp 2
DR
esp Ddsp dpep Jd vdpdpSdp12 2 11
1
2
es
ds
ep
dp
es  ds  ep  dp  1  2 1
Cl

( g)
 Cl( s )
r  SA  RA  X surf
50
40
Ion Adsorption
30
20
rE1  ClO ( JClO )
BCl( s )  O( s )  BOCl( g )
rE 2   BOCl ( J BCl )(J BO )
Hf( s )  4Cl( s )  HfCl4 ( g )
rE 3  HfCl4 ( JHf Cl )4
0.2
rM  rA1  rA2  2rE1  3rE 2  5rE3
Surface normal is
calculated using
polynomial fitting
Vyas, V., Kushner, M. JVST A 24(5) 2006
15
30 45 60
impact angle
(degrees)
The TML model allows for individual reactions to be modeled and provides
information about the surface composition.
•
75
Ar sputter yield
2.0
1.5
21% Cu+, 16% Cu
63% Ar, Eion = 25, sE = 10
11% Cu+, 33% Cu
56% Ar , Eion = 25, sE = 10
10 kW Power
30 kW Power
•
-1
75% Cu+, 20% Cu,
5% Ar+ Eion = 50, sE = 10
Increasing RF Power
sputter yield
0.4
Eion
Higher ionization ratio and energy yields higher bottom coverage and faceting
Adsorption
Flux
M
+
Cl
Perform experiments
with selected materials
and chemistry to
obtain data.
Mass
Spectrometer
Optical
Emission
Spectrometer
Angular Dependencies of Ion etch yield
Kress, J. D. et al. JVST A 17(5), 1999
•
SEM images show low sidewall deposition on TaN diffusion barrier layer,
possibly as a result of lacking surface interactions with ions
Modeled profiles with and without sidewall sticking
2000
e
0
Sample
Stage
700
-
600
500
400
300
200
100
Hoang et al. JVST B 26(8) 2008
0
Binding Energy (eV)
The TML model is built from experimentally obtained data and allows for a
realistic physical description of a process to be constructed.
9
Future Goals
Compare Models in Etching Complex Oxide
MO2 (s)  2BCl3 ( g )  MCl4 ( g )  2BOCl( g )
Cl
Removal
Flux
+
+
+
Adsorption
Flux
M
+
Γion
B
Γneutral
Eion
+
+
Cl
Continue to refine surface evolution algorithms in feature scale model
Apply more complicated Translated Mixing Layer kinetics in order to
simulate feature profile evolution of high-k dielectric material
Goal 1
O
Removal
Flux
Balance Surface Sites
Movement Flux
Translating Mixed Layer
160
Balance Surface Sites
Translating Mixed Layer
160
Etr
Eth
0
120
Al2O3
80
40
0
0
2
4
6
8
10
12
14
0
E ion
2
4
6
8
10
12
14
E ion
For a Cl2 process dominated by etching, there is good agreement
between the models.
10
Hf0.16Al0.19O0.65
HfO2
+
Al2O3
0
2
4
6
8
10
12
•
Al2O3
0
E ion
2
4
6
8
10
12
Eion
For BCl3 chemistry, both etching and deposition regimes can be modeled.
11
Special Acknowledgements
Herbert Sawin at MIT
Ron Kinder at Novellus
HfO2
Dep. Rate (Å/min) Etch Rate (Å/min)
80
HfO2
Etch Rate (Å/min)
Al2O3
Etch Rate (Å/min)
120
40
Hf0.16Al0.19O0.65
HfO2
Dep. Rate (Å/min)
Hf0.16Al0.19O0.65
Etch Rate (Å/min)
+
O
Movement Flux
•
+
+
B
Γneutral
10 mm
4000
Goal 2
Adsorption
Flux
Final verification involves using
TML parameters in feature scale
model and comparing profile
evolution.
6000
8
MO2 (s)  3Cl2 ( g )  MCl4 ( g )  2ClO( g )
Γion
+
+
HfAlO
8000
Cl2,
BCl3
SEM Courtesy of
Novellus Systems
-0.2
0 10 20 30 40 50 60 70 80 90
impact angle (degrees)
•
Test parameter accuracy by
comparing to surface
composition data, etc.
Quartz Window
RF Coil
e
Compare Models in Etching Complex Oxide
M
+
+
B
ICP Reactor
7
+
Removal
Flux
Center
0.2
•
Eion
Top View
50 kW Power
Intensity (Cps)
+
35 eV
50 eV
75 eV
25 eV
100 eV
Sticking coefficient and etch yield is a function of impact angle and energy
+
85% Cu+, 20% Cu, 5%
Ar+, Eion = 50, sE = 10
No Sticking on sidewalls
-
•
Power (kW)
O
Cu+ on Cu(111)
0.6
Increasing Energy (Flux ratio constant 75% Cu+, 20% Cu, 5% Ar+
0.0
Simulations with higher ionization ratios
7% Cu+, 42% Cu
51% Ar , Eion = 25, sE = 10
Γneutral
20
40
60
80
impact angle (degrees)
1.0
45 eV, sE = 10
70
Application of TML Model
Angular dependent
etching
0.5
0.0
0
35 eV, sE = 10
60
6
0.0
25 eV, sE = 10
50
Movement Flux
0.8
E=15 eV, sE = 10
40
Reactor scale model can be used to generate normalized flux ratios and
ionization fractions that can be implemented to feature scale model
Γion
Faceting observed due to angle dependant etching
Overhang from
sputter
30
Vyas, V., Kushner, M. JVST A 24(5) 2006
1.0
Energy dependency
20
r   AB  RC  f  X A , X B 
+
50 eV
75 eV
100 eV
125 eV
150 eV
200 eV
250 eV
Ionization Fraction
10
A( s )  B( s )  AB( g )
Ar+ on Cu(111)
2.5
2
80
Spontaneous Removal by
incoming C flux
90
Sticking on sidewalls
Cu IPVD Data
70
0.2
r   AB  f  X A , X B 
Cu IPVD: Effect of TaN Barrier
Angular dependency
60
4
Power (kW)
5
SEM Courtesy of Novellus Systems
50
0.4
A( s )  B( s )  AB( g )
Robust model can easily incorporate complex kinetics and composition
Cu IPVD: Effect of Ion Angle/Energy
40
0.6
Flux
Ion Induced Production
Cu+ Sputtering of Cu (111) Angular Dependence
Coronell et al. APL 73(26), 1998
4
30
Sample simulations with flux ratios from above
High Neutral to Ion ratio results in very low bottom deposition
•
20
0.8
r  YA  X A
0.0
-0.2
0
4
Large bottom to top coverage ratio indicates high Cu ionization fraction
A( s )  A( g )
35 eV
50 eV
75 eV
25 eV
100 eV
0.4
6
Physical Sputtering
+
q
0.6
8
10
r  S A  RA
Ion Etching
0.8
8
A( g )  A( s )
10 eV
50 eV
100 eV
0
0
10 20 30 40 50 60
reflection angle (degrees)
10
1.2
10
6
1.0
12 Total Cu flux and ionization
fraction vs. Power
10
-2
A( g )  A( s )
+
1.0
Cl( s )  O ( s )  ClO( g )
Neutral Adsorption
Ar+ on Cu (111) 45° off normal scattering
Liu, X.-Y. et al. Thin Film Solids 422,
2002
Singer, Peter. Semiconductor International 2002
Total Ion Flux (Ar+ and
12 Cu+) vs. Power
16
60
14
-1
Ion Scattering
70
number of reflections
E )es  Ji Bs (E
1/ 2
th,s
1
/ 2Jd vds1/S
1/ 2
1/ 2
1  Surface
JDi sAsds(Balance
Eth,2s ds)esof
ESites
  Je ves Ses 1
Eion
Ji Bs (Eion
tr,s ) es
1/ 2
1/ 2
Ji C
D
 p (Eion
J vSEth, p )ep  Je vep Sep 2
s ds
+
+
sputter yield
O
ERs  Ji As (E
+
B
Γneutral
1/ 2
ion
+
Kinetic Models
Flux (10 cm s )
Cl
Removal
Flux
Physics Models
Simulation Domain
-2
+
Γion
HCM IPVD Reactor
Adsorption
Flux
M
Reactor scale flux ratios with varying power
SEM Courtesy of Novellus Systems
Flux (10 cm s )
Eion
Cu IPVD: Effect of Fluxes
Cu IPVD: Overview
MO2 (s)  3Cl2 ( g )  MCl4 ( g )  2ClO( g )
MO2 (s)  2BCl3 ( g )  MCl4 ( g )  2BOCl( g )
+
3
16


Braun, A.E. Semi. Int. (2000)
Ionization Fraction
Adapted from
http://finepolymers.com/feol-cleans
Acknowledgement
Sponsored by AMD, Applied Materials, ASM
Lithography, Canon, Ebara, Global Foundries, IBM,
Intel, KLA-Tencor, Magma, Marvell, Mentor Graphics,
Novellus, Panoramic Tech, SanDisk, Synopsis, Tokyo
Electron, Xilinx, with matching support by the UC
Discovery Program.
12
Funded by
Edge
5 mm