Introduction

Download Report

Transcript Introduction

1
Resist Resolution Enhancement and
Line-end Shortening Simulation
SFR Workshop
November 8, 2000
Mosong Cheng, Andrew Neureuther
Berkeley, CA
2001 GOAL: to investigate the impact of electric-field-enhanced
post exposure baking on resist profile; validate resist/lens
aberration-based line-end shortening model by 9/30/2001.
11/8/2000
2
Electric-Field-Enhanced Post
Exposure Bake
• Vertical electric field enhance the vertical drift/oscillation of
photoacid, improve resist profile uniformity, reduce lateral acid
diffusion.
• Al plates were coated a film to prevent electrochemical reaction
• E: AC component and an upward DC component (to reduce Ttopping).
Al plate
wafer
Al plate
Hotplate
Resist
photoacid
11/8/2000
E
3
Electric-Field-Enhanced vs. Standard Post
Exposure Bake
UVIIHS. 0.3, 0.2, 0.1mm L/S,
12mC/cm2. PEB 140oC, 90s.
Dev. 60s. EFE-PEB: AC 9.8V,
DC 0.65V, 3Hz.
EFE-PEB
E
EFE-PEB
E
Standard
11/8/2000
4
Electric-Field-Enhanced vs. Standard Post
Exposure Bake
EFE-PEB,
200nm CD
Standard,
200nm CD
UVIIHS. 0.3mm L/S, 9mC/cm2.
PEB 140oC, 90s. Dev. 60s. EFEPEB: AC 9.8V, DC 0.65V, 3Hz.
11/8/2000
EFE-PEB,
300nm CD
5
RIAR: Rapid Imaging Algorithm for Resist
(SPIE Microlithography’00)
• Assume 2-D reaction/diffusion. Parabolic polynomials are applied to
approximate the solution.
• Time evolving scheme: Iterative solve the polynomial coefficient until the
error reaches certain criteria.
Comparison of STORM and RIAR complextity
CPU time(sec)
1000
CPU time of
STORM=O(N2)
100
CPU time of
RIAR=O(N1.38)
10
RIAR
STORM
1
0.1
10
100
1000
Number of nodes
11/8/2000
10000
For 625 nodes,
STORM 3min,
RIAR 20sec.
6
Line-End Shortening: Calibrating Resist
Model based on Pattern Fidelity
Base model
Tune chemically
amplification
coefficient
Tune PEB
diffusivity
Tune dissolution
parameters
PROLITH
simulation
2D image
Differentiator
11/8/2000
SEM
image
7
Calibrating Resist Model: Sim. Vs. Exp. II
140nm ISO-DEN bias
CD(nm)
170nm ISO-DEN bias
CD(nm)
200
280
J(Exp)
J(Prolith)
190
180
P(exp)
P(Prolith)
260
240
170
220
160
200
150
140
180
130
160
120
140
0
500
1000
1500
2000
Pitch(nm)
2500
3000
3500
0
500
1000
1500
2000
2500
3000
Pitch(nm)
l=193nm, NA=0.6, s=0.75, resist thickness=350nm, Real mask CD
data were used.
11/8/2000
3500
8
Applying the calibrated resist model to
LES at the best focus
SEM picture
11/8/2000
PROLITH simulation
9
2002 and 2003 Goals
Investigate the impacts of the applied electric field polarity,
frequency and magnitude on post exposure bake on e-beam and
DUV exposure tools by 9/30/2002.
Optimize the electric-field-enhanced post exposure baking
process by 9/30/2003.
Complete the resist/lens aberrations-based line-end shortening
model and validate the simulation in 248nm and 193nm
lithography by 9/30/2002.
11/8/2000