Автоматизированное проектирование элек

Download Report

Transcript Автоматизированное проектирование элек

Автоматизированное
проектирование
электронных устройств
Казанцев Ю.М., проф. каф. ПМЭ ЭФФ ТПУ
Томск, 2009 г.
• Изложены общие сведения о методологии
автоматизированного проектирования электронной
аппаратуры.
• Дан анализ методов структурного синтеза и
алгоритмов проектирования устройств силовой и
слаботочной электроники.
• Рассмотрены методы анализа проектных решений.
Изложены вопросы автоматизации конструкторскотехнологического проектирования.
• Освещены активно развиваемые в последнее время
технологии CALS.
• Предназначено для студентов направления
«Электроника и микроэлектроника» и может быть
использовано при изучении курса, выполнении
практических заданий и лабораторных работ,
курсовых и выпускных квалификационных работ
магистров и дипломированных специалистов.
ВВЕДЕНИЕ
• С внедрением систем автоматизированного
проектирования (САПР) существенно меняются
функции разработчика электронной аппаратуры –
инженер, не умеющий работать в САПР, не может
считаться полноценным специалистом,
• а предприятия, ведущие разработки без
использования САПР, оказываются
неконкурентоспособными из-за больших
материальных и временных затрат на
проектирование и невысокого качества проектов.
• Составными частями САПР являются многие
современные информационные технологии.
• Техническое обеспечение САПР основано на
использовании вычислительных сетей и
телекоммуникационных технологий, персональных
компьютеров и рабочих станций.
• Математическое обеспечение САПР отличается
богатством и разнообразием используемых методов
вычислительной математики, статистики,
математического программирования, дискретной
математики, искусственного интеллекта.
• Программные комплексы САПР относятся к числу
наиболее сложных современных программных
систем, включают реляционные и объектноориентированные базы данных, стандарты обмена
данными в компьютерных средах.
• Поэтому, с целью подготовки специалистов в
области создания и использования САПР
необходимо систематизированное изложение
принципов организации САПР, применяемого
математического аппарата, методов
автоматизированного проектирования,
математических моделей, программных и
технических средств САПР.
• Дисциплина "Автоматизированное проектирование
электронных устройств" призвана способствовать
выработке у студентов передовых научнотехнических воззрений, ориентации их на мировой
уровень производительности труда, подготовке
специалистов, которые должны обеспечить
бездефектное проектирование, снижение
материальных затрат, сокращение сроков
проектирования и количества инженернотехнических работников, занятых разработкой
новой техники.
• Курс базируется на знании общеобразовательных и
специальных дисциплин по математике,
электронной технике, методам анализа и расчета
электронных схем.
• В главе 1-ой рассматриваются общие сведения о
проектировании, классификация и основные
структуры САПР, виды обеспечения и
информационные потоки в САПР, методы
параметрического и структурного синтеза проектных
решений. Дан обзор критериев оптимальности и
методов расчета оптимальных значений проектных
параметров, рассмотрено применение методов
планирования эксперимента в системах
автоматизированного проектирования.
• В главе 2-ой содержатся сведения о моделях и
методах, используемых для анализа проектных
решений различных иерархических уровней,
анализируются особенности математического
моделирования на основных этапах проектирования
электронной аппаратуры.
• Глава 3-я посвящена методам формализации
структурного синтеза, анализу алгоритмов
автоматизированного проектирования устройств
силовой электроники и формирования моделей
основных компонентов этих устройств.
• В главе 4-ой рассматриваются вопросы
автоматизированного проектирования устройств
слаботочной электроники на основе использования
типовых функциональных преобразователей.
• Глава 5-я посвящена вопросам автоматизации
конструкторско-технологического проектирования
электронных устройств, описанию
автоматизированной системы обеспечения
надежности электронной аппаратуры, проектному
анализу электромагнитной совместимости.
ОБЩИЕ СВЕДЕНИЯ О ПРОЕКТИРОВАНИИ
1.1. ОПРЕДЕЛЕНИЕ ПРОЦЕССА ПРОЕКТИРОВАНИЯ
• Проектированием называется процесс составления
описания, необходимого для создания в заданных
условиях ещё не существующего объекта на основе
первичного описания этого объекта и (или) алгоритма
его функционирования, путем преобразования (в
ряде случаев неоднократного) первичного описания,
оптимизации заданных характеристик объекта и
алгоритма его функционирования, устранения
некорректностей первичного описания и
последовательного представления описаний (при
необходимости) на различных языках.
• Или, более коротко.
• Проектирование – это процесс,
заключающийся в получении и
преобразовании исходного описания объекта
в окончательное описание на основе
выполнения комплекса работ
исследовательского, расчетного и
конструкторского характера.
• Результатом проектирования при этом
выступает получаемое в результате
выполнения комплекса
исследовательских, расчетных и
конструкторских работ описание
объекта (комплект документов), по
которому этот объект можно изготовить.
• История развития методов проектирования
связана с общей историей развития общества,
на разных стадиях развития которого можно
выделить:
• 1. Традиционные методы проектирования. К
ним относят эволюцию кустарных промыслов –
постепенную подгонку изделий в соответствии
с медленными темпами развития общества.
Примером такой подгонки является телега,
конструкция которой создавалась долгие годы
и учитывает множество нюансов. Например,
развал колес, обеспечивающий движение в
такт ходьбы лошади.
• Сейчас развал-схождение автомобиля
проверяют на компьютерных стендах.
• 2. Чертёжный способ проектирования.
Возник на стадии машинного
производства, когда потребовалось
существенно увеличить число
проектируемых изделий.
• Определяется как метод проектирования
путём создания чертежей объекта в
определенном масштабе. Поиск
осуществляется методом проб и ошибок
и отдален от производства.
• Отличается тем, что в каждый момент
рассматривается лишь одна концепция
целого.
• Структура объекта определяется на основе
опыта и творческой интуиции разработчика по
схеме:
логика – интуиция – рациональность.
• Декомпозиция объекта (разбиение целого на
части) осуществляется ведущим
специалистом.
• Композиция (объединение частей в единое
целое) осуществляется с использованием
методов стандартизации: унифицированных
размеров, единой разрядности цепей,
согласованных нагрузочных характеристик.
• Этапы развития чертежного способа:
• • индивидуальное проектирование (изделия
создаются впервые, без прототипов);
• • типовое проектирование – проектируется не одно
конкретное изделие, а целое семейство
(параметрические ряды) конструктивно подобных
изделий, характеризуется внедрением методов
группового проектирования, агрегатирования,
унификации, сущность которых в разработке
минимального количества узлов и деталей, которые
многократно используются;
• • переход к единой системе конструкторской
документации (ЕСКД), устанавливающей единые
правила оформления и оборота конструкторской
документации.
• Такой подход дает хорошие результаты на уровне изделий и их
частей.
• Если изобразить графически соотношение сложность системы –
эксплуатационные характеристики (рис. 1.1), то видно, что
существует эволюционное плато, преодолеть которое возможно
использованием современных способов проектирования,
позволяющих учитывать взаимное влияние большого количества
факторов.
Экплуатационные
характиристики
Эволюционное плато
Сложность системы
• Рис.1.1. Зависимость качества эксплуатационных характеристик
от сложности проектируемой системы
• 3. Современные методы проектирования.
Позволяют рассматривать множество концепций
целого за счёт расширения пространства решений, в
котором ведётся поиск новых структур.
• Новые методы проектирования – это формальные
схемы, позволяющие разделить задачу
проектирования на части и указать взаимные связи
между ними, т.е. получить технические задания (ТЗ)
на проектируемые части, учитывающие
последующее соединение их между собой.
• Необходимый для принятия решения объем
информации на каждом уровне проектирования
может быть обеспечен только на базе современных
информационных технологий в соответствии с
принципом – вся информация должна быть в
компьютере, а не в голове.
• Общие особенности современных методов
проектирования определяют стратегию
проектирования, которая включает три основных
этапа:
- Сбор обширного множества альтернативных решений
и подготовка грубых моделей для их исследования.
Этот этап называется анализ или дивергенция –
представление вариантов самостоятельными
единицами.
- Проведение всей последовательности испытаний на
моделях, отбраковка ненужных. Этот этап
называется синтез (трансформация).
- Устранение внутренних противоречий и определение
одного эскизного решения (прототипа),
удовлетворяющего всем критериям, – оценка или
конвергенция (сведение вариантов к единому
целому).
• Стратегия проектирования может быть
линейной, когда каждое последующее
действие зависит от исхода предыдущего, но
не зависит от результата последующих
действий.
• В противном случае стратегия становится
циклической, разветвленной.
• Для формализации процесса расширения
области поиска решений проектной проблемы,
т.е. генерации множества возможных вариантов
структур проектируемого объекта, используют
методы поиска идей:
• • мозговая атака (штурм) – метод активизации
коллективной творческой деятельности, при его
применении разделяются во времени процессы
генерирования идей и их критические оценки;
• • синектика – активное применение аналогий;
• • ликвидация тупиковых ситуаций;
• • морфологические карты;
• • ТРИЗ – теория решения изобретательских
задач (Г.С. Альтшуллер, 40-е годы ХХ века).
• Морфологические карты составляются в виде
таблицы
• Морфологические карты для устройств преобразовательной
техники (выпрямитель, конвертор, инвертор)
• План действий при составлении карт:
• 1.Определить функции, которые приемлемый
вариант изделия должен быть способен выполнять.
• 2.Перечислить на карте широкий спектр возможных
решений, т.е. альтернативных средств
осуществления каждой функции.
• 3.Выбрать по одному приемлемому решению (*) для
каждой функции.
• 4.В идеальном случае на карте должны быть
представлены все возможные частные решения. Это
легче осуществить, если в каждом горизонтальном
ряду будет столбец «другие средства».
• Число возможных решений в имеющейся таблице:
• N  K i , где
• К – количество функций;
• i – количество возможных вариантов решений.
• Основная трудность заполнения карты – определение набора
функций существенных для любого решения, независимых друг
от друга, охватывающих все аспекты проблемы и
немногочисленных.
• Например, любой полупроводниковый преобразователь должен
реализовать следующие функции:
• • собственно преобразование рода тока (схема);
• • регулирование параметров преобразованной энергии (методы
регулирования: импульсная модуляция, физические эффекты в
линейных и нелинейных цепях);
• • согласование уровня напряжения питания и нагрузки;
• • гальваническая развязка;
• • электромагнитная совместимость;
• • кондиционирование входных и выходных параметров
(фильтрация).
• Первые две функции реализуются посредством
полупроводниковых управляемых ключей. Следующие две – с
помощью трансформаторов. Последние две – с помощью
фильтров.
• Примеры современных методов проектирования:
• Функционально-стоимостной анализ (ФСА) –
стратегия, направленная на снижение стоимости за
счёт нахождения самого дешёвого решения.
• Системотехника – методология, цель которой
добиться внутренней совместимости между
элементами и внешней совместимости между
изделием и окружающей средой.
• Для реализации современных стратегий используют
два вида проектирования:
• внешнее – описание цели создания, основных
характеристик, внешних воздействий;
• внутреннее – описание изделия, его структуры,
технических решений, конструкции, режимов
эксплуатации.
• Процесс проектирования может идти как от внешнего
к внутреннему с определением критериев
проектирования деталей и узлов,
• так и наоборот – по разработанным
унифицированным узлам и нормативным правилам
их сборки осуществляется синтез изделия.
• Считается, что оптимальным является наличие 30%
оригинальных узлов и 70% унифицированных.
• Естественно, такой объем работ можно выполнить
только с применением современных средств
оргтехники и, самое главное, систем
автоматизированного проектирования (САПР),
которые обеспечивают высокое качество и сжатые
сроки проектирования за счет использования широких
возможностей средств вычислительной техники.
• Процесс проектирования должен охватывать все
стадии жизненного цикла:
• Маркетинг, формирование требований к изделию и
разработка технического задания.
• Проектирование.
• Подготовка производства, изготовление, испытание и
доводка опытных образцов.
• Серийное производство, упаковка, хранение,
реализация.
• Эксплуатация и целевое применение, сервис.
• Утилизация.
• Такой подход возможен только при использовании
CALS (Continuous Acquisition and Life Cycle Support) –
технологий непрерывной информационной
поддержки жизненного цикла изделия (ИПИ).
• CALS-технология – это технология комплексной
компьютеризации сфер промышленного производства, цель
которой унификация и стандартизация документации
(проектной, технологической, производственной, маркетинговой,
эксплуатационной) промышленной продукции на всех этапах ее
жизненного цикла.
• В CALS-системах предусмотрены хранение, обработка и
передача информации в компьютерных средах, оперативный
доступ к данным в нужное время и в нужном месте.
• Применение CALS позволяет существенно сократить объемы
проектных работ, так как описания многих составных частей
оборудования, машин и систем, проектировавшихся ранее,
хранятся в базах данных сетевых серверов, доступных любому
пользователю технологии CALS.
• Существенно облегчается решение проблем
ремонтопригодности, интеграции продукции в различные
системы, адаптации к меняющимся условиям эксплуатации,
специализации проектных организаций и т.п.
• Ожидается, что успех на рынке сложной технической продукции
будет немыслим вне технологии CALS.
• Развитие CALS-технологии должно привести к
появлению так называемых виртуальных
производств, при которых процесс создания
спецификаций с информацией для программноуправляемого технологического оборудования,
достаточной для изготовления изделия, может быть
распределен во времени и пространстве между
многими организационно автономными проектными
студиями.
• Среди несомненных достижений CALS-технологии
следует отметить легкость распространения
передовых проектных решений, возможность
многократного воспроизведения частей проекта в
новых разработках и др.
• Построение открытых распределенных
автоматизированных систем для проектирования и
управления в промышленности составляет основу
современной CALS-технологии. Главная проблема их
построения – обеспечение единообразного описания и
интерпретации данных в общей системе, имеющей
масштабы вплоть до глобальных.
• Структура проектной, технологической и эксплуатационной
документации, языки ее представления должны быть
стандартизованными. Тогда становится реальной
успешная работа над общим проектом разных
коллективов, разделенных во времени и пространстве и
использующих разные CAE/CAD/CAM-системы.
• Одна и та же конструкторская документация может быть
использована многократно в разных проектах, а одна и та
же технологическая документация адаптирована к разным
производственным условиям, что позволяет существенно
сократить и удешевить общий цикл проектирования и
производства. Кроме того, упрощается эксплуатация
систем.
• CALS-технология базируется на локальных решениях,
разработанных и реализованных на предыдущих
этапах развития информационных систем (САПР-К,
САПР-Т, АСУТП, АСУ различных уровней, отдельные
компьютеризованные производства) и включает:
• 1.Интегрированную компьютеризацию и единую
информационную среду в электронной форме для
всех участников жизненного цикла изделий с
использованием: корпоративной сети;
территориальных вычислительных сетей; глобальной
сети Интернет, исключающих человека в качестве
главного информационного канала при передаче
данных по этапам жизненного цикла изделий.
•
•
2.Применение CAD/CAM/CAE- систем,
обеспечивающих автоматизированное
проектирование, производство, инженерные
расчетные исследования; PDM-систем,
обеспечивающих управление данными о продукции;
ERP- систем, обеспечивающих планирование
ресурсов предприятия, возможный прямой доступ со
стороны заказчика, параллельное проектирование и
других информационных технологий.
3. Полное электронное определение изделий:
электронный макет изделий, пространственная
увязка сборок изделий (3D – модели), исключение
бумажного параллельного документооборота,
электронно-цифровая подпись (ЭЦП).
• 4. Применение передовых информационных
технологий, программных и аппаратных средств,
современного технологического оборудования,
высокоэффективных конструкционных и
функциональных материалов.
• 5. Единую информационную базу участников
создания изделий как основу разработки и выпуска
конкурентоспособной продукции в короткие сроки с
оптимальными затратами.
• 6. Использование международных стандартов и
других материалов в области информационных
технологий.
• 7. Обеспечение информационной безопасности,
регламентированный доступ и защита информации,
предотвращающая возможность случайных или
преднамеренных угроз безопасности информации,
исходящих как из внутренних, так и внешних
источников.
• Терминология: автоматизированное проектирование
• CALS – Continuous Acquisition and Life cycle Support –
непрерывная информационная поддержка жизненного цикла
изделия.
• EDA – Electronic Design Automation – автоматизированное
проектирование электронных устройств.
• ECAD – Electronic Computer Aided Design – автоматизированное
проектирование электронных устройств.
• CAD - Computer Aided Design – автоматизированное
конструирование.
• CAM – Computer Aided Manufacturing – автоматизация технологии
(автоматизированная подготовка производства).
• CAE – Computer Aided Engineering – инженерные расчеты.
• PLM – Product Life Management – управление жизненным циклом
продукции.
• PDM – Product Data Management – управление данными об
изделии.
• ERP – Enterprise Resource Planning – управление ресурсами
предприятия.
• ILM – Information Life Cycle Manegement – управление жизненным
циклом информации (данных).
1.2. ОПИСАНИЕ ПРОЦЕССА АВТОМАТИЗИРОВАННОГО
ПРОЕКТИРОВАНИЯ
• Основные концепции современного проектирования
с переходом от схемы «логика – интуиция –
рациональность» к схеме «анализ – синтез – оценка»
реализуются только на основе автоматизированного
проектирования.
• Автоматическое проектирование возможно лишь в
отдельных частных случаях для сравнительно
несложных объектов.
• Автоматизированное проектирование определяется
как проектирование, при котором отдельные
преобразования описания объекта, а также
представление описания на различных языках
осуществляется взаимодействием человека и ЭВМ.
• В автоматизированный режим может быть
переведено до 90% проектных работ. Но и
оставшиеся 10% работы могут быть
значительно интенсифицированы за счёт
автоматизации информационно-справочного
обслуживания.
• Человек в такой системе является лицом,
принимающим решения (ЛПР).
• Система, реализующая автоматизированное
проектирование, представляет собой
систему автоматизированного
проектирования (в англоязычном написании
CAD System — Computer Aided Design
System).
• Интерпретация и конкретизация системного подхода
при автоматизированном проектировании имеют
место в ряде известных подходов, которые также
можно рассматривать как компоненты
системотехники.
• Таковы структурный, блочно-иерархический,
объектно-ориентированный подходы.
• При структурном подходе (концептуальном
проектировании) требуется синтезировать варианты
системы из компонентов (блоков) и оценивать
варианты при их частичном переборе с
предварительным прогнозированием характеристик
компонентов.
Таким образом, концептуальное
проектирование (проектирование «от
концепции», замысла) – это сбор из
простых элементов (форм)
соответствующей замыслу комплексной
формы.
• Блочно-иерархический подход к
проектированию использует идеи
декомпозиции сложных объектов и
соответственно средств их создания на
иерархические уровни и аспекты, вводит
понятие стиля проектирования (восходящее и
нисходящее), устанавливает связь между
параметрами соседних иерархических
уровней.
• Объектно-ориентированный подход к
проектированию вносит в модели
приложений большую структурную
определенность, распределяя данные и
процедуры между классами объектов.
• Для всех подходов к проектированию
сложных систем характерны следующие
особенности:
• Структуризация процесса
проектирования, выражаемая
декомпозицией проектных задач и
документации, выделением стадий, этапов,
проектных процедур. Эта структуризация
является сущностью блочноиерархического подхода к проектированию.
• Итерационный характер проектирования.
• Типизация и унификация проектных
решений и средств проектирования.
• При использовании блочно-иерархического
подхода представления о проектируемой
системе и распределение работ между
исполнителями основаны на декомпозиции
системы на иерархические уровни, в
соответствии с уровнями сложности систем
(рис. 1.2).
Уровень групп
систем
Уровень
систем
Уровень изделий
(устройств)
Уровень компонентов (узлов)
• Рис. 1.2. Уровни сложности систем
• Блочно-иерархический подход включает по
каждому уровню иерархии выделение таких
аспектов проектирования, как:
• функциональное (разработка принципов
действия, структурных, функциональных,
принципиальных схем),
• конструкторское (определение форм и
пространственного расположения
компонентов изделий),
• алгоритмическое (разработка алгоритмов и
программного обеспечения),
• технологическое (разработка
технологических процессов).
• Процесс проектирования состоит из стадий,
этапов, проектных процедур и проектных
операций.
• Основные стадии процесса проектирования:
• Предпроектные исследования и разработка
технического задания (ТЗ).
• Разработка эскизного проекта.
• Разработка рабочей, конструкторской и
технологической документации (рабочий
проект).
• Изготовление, предварительные испытания
опытного образца.
• Приёмка опытно-конструкторской разработки
(ОКР).
• На стадии ТЗ определяется комплекс
требований к разрабатываемому устройству,
• На стадии эскизного проектирования
синтезируется его схема и проводится
предварительный расчет основных техникоэкономических показателей (прототип),
• На стадии рабочего проекта производится
разработка комплекта конструкторской и
технологической документации.
• После изготовления и испытаний опытных
образцов ОКР сдается заказчику.
• Этапы проектирования (последовательность
этапов определяется договором на
проведение ОКР):
• Разработка и согласование ТЗ.
• Разработка принципиальной электрической схемы.
• Изготовление макета и проведение лабораторных
испытаний (моделирование).
• Разработка программы обеспечения надёжности.
• Разработка схемы испытаний и ТЗ на нестандартное
испытательное оборудование.
• Разработка технических условий (ТУ).
• Разработка альбома рабочих чертежей.
•
•
•
•
•
•
•
•
•
Разработка эксплуатационной документации
(формуляр (ФО), паспорт (ПС), инструкция по
эксплуатации (РЭ), этикетка (ЭТ), ведомость
эксплуатационных документов (ВЭ)).
Разработка технологической документации.
Разработка инструкций по настройке.
Разработка программы предварительных
испытаний.
Изготовление опытных образцов для
предварительных испытаний, изготовление
испытательного оборудования.
Проведение предварительных испытаний.
Выпуск отчёта по предварительным испытаниям и
корректировка КД.
Присвоение КД литеры "0" (готовность к серийному
производству).
Изготовление, приёмочные испытания и поставка.
• Составной частью процесса
проектирования является проектная
процедура – формализованная совокупность
действий в результате которой получают
проектные решения.
• Процедура включает в себя проектные
операции, неизменные для данной
процедуры.
• Заданная последовательность проектных
процедур определяется как маршрут
проектирования.
Проектные процедуры этапа «Разработка
принципиальной электрической схемы»:
• детализация и выбор элементов, входящих в
схему электрическую принципиальную.
Заканчивается выпуском перечня разрешенных
элементов;
• детализация и выбор электрических связей
между элементами. Заканчивается моделью,
макетом, эскизом;
• описание схемы электрической принципиальной
в стандартных формах.
• Проектным решением называется
промежуточное или конечное описание
объекта проектирования, необходимое и
достаточное для рассмотрения и
определения дальнейшего направления
или окончания проектирования.
• Документ, выполненный по заданной
форме, в котором представлено какое-либо
проектное решение, полученное в процессе
проектирования, называется проектным.
• Совокупность проектных документов в
соответствии с установленным перечнем, в
которых представлен результат
проектирования, называется проектом.
• 1.3. СИСТЕМНЫЙ ПОДХОД К
ПРОЕКТИРОВАНИЮ ЭЛЕКТРОННЫХ
УСТРОЙСТВ
• По мере усложнения электронных устройств
их исследования приходится формализовать,
т.е. делать однотипными (стандартизировать
процессы) для любых сложных устройств
заданного назначения, рассматривая части
устройства с учетом их взаимодействия.
• Такой подход называется системным и
предполагает:
• Установление границ проектируемой системы как
целого, т.е. выделение ее из окружающей среды.
• Выявление структуры системы, типизация
связей, определение атрибутов.
• Определение целей системы, критериев
качества ее функционирования и методов их
расчета.
• Декомпозицию системы на составные части или
подсистемы. Рассмотрение частей системы с
учетом их взаимодействий.
• Изучение системы во всех требуемых аспектах,
анализ влияния внешней среды.
• В технике дисциплину, в которой исследуются
сложные технические системы и их проектирование
называют системотехникой.
• Классический подход основан на том, что свойства
целого определяются свойствами составляющих
его элементов.
• Системный подход основан на том, что элементы
детерминируются целым, в рамках которого они
функционируют.
• При этом у системы есть свойства, которых нет у ее
элементов: синергизм – системный эффект (сумма
целого за счет синергетики всегда больше суммы
составляющих целое частей).
• Существует пять принципов системного
подхода при проектировании электронных
устройств:
• 1.Электронное устройство рассматривается
не само по себе, а в совокупности с
источником питания на входе и нагрузкой на
выходе, кроме того учитываются все внешние
воздействия.
• 2.Определяется необходимый набор
критериев качества и функционирования
электронного устройства и рассматриваются
существующие методики его расчета.
• 3.Производится декомпозиция
устройства для упрощения его анализа
и расчета.
• Выделяют два уровня декомпозиции:
• верхний уровень – система разделяется на
элементарные базовые ячейки;
• нижний уровень – элементарные базовые
ячейки рассматриваются как совокупность
элементов.
•
4.При анализе электромагнитных процессов
в электронных устройствах принимаются
следующие классы допущений:
•
все элементы схемы идеальны; источники
бесконечной мощности; нагрузка идеализирована;
учитываются реальные параметры элементов
схемы; нагрузка остается идеализированной;
все элементы схемы замещаются реальными
моделями с реальными параметрами.
•
•
•
5.В процессе проектирования
осуществляется учет взаимосвязей между
проектными процедурами (стратегия
проектирования не всегда линейная).
• Соответственно этапам процесса проектирования
разрабатываются структурная, функциональная и
принципиальная схемы ЭУ.
• Схема структурная – определяет основные функциональные
части изделия, их назначение и взаимосвязи. Схемы
структурные разрабатывают при проектировании изделий на
стадиях, предшествующих разработке схем других типов, и
пользуются ими для общего ознакомления с изделием.
• Схема функциональная – схема, разъясняющая определенные
процессы, протекающие в отдельных функциональных цепях
изделия или в изделии в целом. Схемами функциональными
пользуются для изучения принципов работы изделий, а также
при их наладке, контроле и ремонте.
• Схема принципиальная (полная) – схема, определяющая
полный состав элементов и связей между ними и, как правило,
дающая детальное представление о принципах работы
изделия, происходящих в изделии процессах и его технической
реализации. Схемами принципиальными пользуются для
изучения принципов работы изделий, а также при их наладке,
контроле и ремонте. Они служат основанием для разработки
других конструкторских документов, например схем
соединений и чертежей
• При проектировании реализуется процессный подход.
По ГОСТ Р ИСО 9001–2001 процесс – это система
действий преобразования вход – выход.
• Процессы преобразуют состояние предмета.
• Каждый процесс имеет цель и управляется
(реализуется) процедурами.
• Процедура – путь, которым выполняются работы,
чтобы реализовать процесс, способ осуществления
процесса.
• Эффективность процесса – ресурсы, используемые
для достижения цели.
• Результативность процесса – степень приближения
к цели.
• Цикл управления PDCA (Planning – Do – Check –
Action).
Рис. 1.3. Условное обозначение процесса
управление
вход
Процесс
вызов
выход
ресурсы
•
•
•
•
•
•
•
Требования к проектным документам:
1.Системность (ссылки на используемые документы).
2.Функциональная полнота (исчерпывающий).
3.Адекватность (соответствие стандарту).
4.Идентифицируемость (по видам документов).
5.Адресность (для кого).
6.Простота.
7.Актуальность (учет изменений).
Электронные устройства проектируются в
соответствии со стандартами:
• industry, military, space (общепромышленный,
военный, космической техники).
• 1.4. СТРУКТУРА САПР
• CАПР представляет собой организационно технический комплекс, состоящий из
большого количества взаимосвязанных и
взаимодействующих элементов.
• Схема процесса автоматизированного
проектирования и функциональная схема
САПР представлены на рис. 1.4 и 1.5.
Рис. 1.4. Схема процесса автоматизированного
проектирования
Ограничения
Получение проектных решений
Входные
данные
Варьируемые
параметры
Проектные
процедуры
Оценка результатов проектирования
Выходная документация
Проектные
решения
Рис. 1.5. Функциональная схема САПР
Soft
Поль зователь
Soft
Средства
Преобразование
Монитор
ввода - вывода
информации
СУБД
Справочно нормативные
данные
Данные текущего
проекта
Архивные данные
Специальные
программно аппаратные
средства
• Монитор – это система управления
процессом проектирования – DesPM (Design
Process Manager), организующая
взаимодействие между всеми компонентами
САПР.
• В качестве монитора выступают PLM-PDM
системы, реализующие управление всей
информацией об изделии, процедурах и
процессах его разработки и технологической
подготовки производства, объединяющие всю
основную информацию о жизненном цикле
изделия, делающие данные доступными всем
пользователям
• Основные цели создания САПР:
• • повышение качества и техникоэкономического уровня продукции;
• • уменьшение затрат на ее создание и
эксплуатацию;
• • сокращение сроков, уменьшение
трудоемкости проектирования;
• • повышение качества проектной
документации.
• Эти цели в САПР реализуются за счет:
• Систематизации и совершенствования процессов
проектирования на основе применения эффективных
мат. методов и моделей объектов и средств
вычислительной техники.
• Комплексной автоматизации проектных работ и
повышения качества управления проектированием.
• Использования методов многовариантного
проектирования и оптимизации.
• Автоматизации трудоёмких и рутинных проектных
работ.
• Замены натурных испытаний и макетирования
математическим моделированием.
• Создания единых банков данных, содержащих
данные необходимые для проектирования
(информационное обеспечение).
• Унификации и стандартизации методов
проектирования.
• В интегрированной САПР
осуществляется проектирование от
ввода первичного описания объекта до
выдачи проекта с необходимым
комплектом документации.
• В любой автоматизированной системе
проектирования регламентируются
следующие части (виды обеспечения):
• ◦ техническое (ТО), включающее различные аппаратные
средства (ЭВМ, периферийные устройства, линии связи,
сетевое коммутационное оборудование). По функциональному
признаку выделяют следующие группы технического
обеспечения:
– устройства подготовки и ввода данных (клавиатура,
магнитные носители, сканеры, дигитайзеры, цифровые
фото- и телекамеры);
– средства передачи данных (повторители, концентраторы,
коммутаторы, модемы, мультиплексоры, сетевые платы);
– технические средства программной обработки данных (ЭВМ,
процессор);
– технические средства отображения и документирования
данных – алфавитно-цифровые и графические дисплеи
(мониторы, терминалы), принтеры, плоттеры
(графопостроители), устройства на магнитных носителях,
устройства специального назначения (фотоплоттеры,
координатографы);
– технические средства архива проектных решений – комплект
средств для хранения, контроля, восстановления и
размножения данных, включает все перечисленные выше
устройства.
• ◦ математическое (МО), объединяющее
математические методы, модели и алгоритмы для
выполнения проектирования;
• ◦ программное (ПО), представляемое
компьютерными программами САПР, включает
документы с текстами программ, программы на
машинных носителях и эксплуатационные
документы к ним. Программное обеспечение
подразделяется на общесистемное – операционные
системы, трансляторы и т.д. и прикладное – пакеты
прикладных программ, предназначенных для
получения проектных решений;
• ◦ информационное (ИО) – документы с описанием
типовых элементов, комплектующих изделий,
материалов и другие данные, а также файлы и блоки
на машинных носителях с записью указанных
документов.
• Компоненты информационного обеспечения
создаются на основе максимального использования
информационно-поисковых систем (ИПС) и
стандартных банков данных со структуризацией
данных по формальным признакам, разграничением
доступа и защитой данных.
• Для доступа к данным в СУБД используется, в
частности язык SQL (Structured Query Language).
• В информационно-поисковых системах САПР
хранится и обрабатывается, как правило,
документальная информация.
• Для обеспечения взаимодействия пользователей и
ИПС служит нормативный (фиксированный) словарь
понятий, с помощью которого можно описывать
содержание, как документов, так и запросов. Такой
словарь называется тезаурусом. Тезаурус является
моделью системы понятий предметной области.
• Запросы и поиск информации в ИПС и БД
осуществляются по атрибутам – атрибутивный поиск,
и всему содержанию документов – контентный поиск;
• ◦ лингвистическое, выражаемое языками общения
между проектировщиками и ЭВМ, языками
программирования и языками обмена данными
между техническими средствами САПР;
• ◦ методическое, включающее материалы, в которых
изложены теория, методы, математические модели,
алгоритмы, методики проектирования, терминология,
нормативы, обеспечивающие методологию
проектирования в САПР, отражены состав, правила
отбора и эксплуатации средств автоматизированного
проектирования;
• ◦ организационное – совокупность правил и
приказов, должностных инструкций и других
документов, регламентирующих организационную
структуру подразделений предприятия и их
взаимодействие с комплексом средств
автоматизированного проектирования, права,
обязанности и функции участников САПР.
• Основными структурными звеньями САПР являются
подсистемы, обеспечивающие выполнение
некоторой законченной проектной процедуры с
получением проектных решений и документов.
• Различают объектно-ориентированные и
инвариантные (управление, обработка информации)
подсистемы.
• По целевому назначению различают САПР или
подсистемы САПР, обеспечивающие разные аспекты
проектирования: конструкторские САПР общего
машиностроения – САПР-К, часто называемые
просто CAD-системами; технологические САПР
общего машиностроения – САПР-Т, иначе
называемые автоматизированными системами
технологической подготовки производства АСТПП
или системами CAМ (Computer Aided Manufacturing).
• По структурному признаку выделяют также
отдельные программно-методические
комплексы (ПМК) САПР, например, комплекс
анализа прочности механических изделий в
соответствии с методом конечных элементов
(МКЭ) или комплекс анализа электронных
схем.
• Системы ПМК это системы с уникальными
архитектурами не только программного
(software), но и технического (hardware)
обеспечений.
• Структура САПР должна строиться в соответствии со
следующими основными принципами:
Принцип включения и системного единства предусматривает связь
всех подсистем САПР, согласование параметров и
возможностей конкретной САПР со стоящей выше на
иерархическом уровне, одноразовое занесение информации,
программная целостность документов (недопустимость
«ручных» правок).
Принцип развития – предусматривает возможность наращивания и
совершенствования компонентов САПР и связей между ними.
Принцип комплексности – заключается в обеспечении связности
проектирования отдельных элементов и всего объекта в целом
на всех стадиях проектирования (системный подход).
Принцип информационного единства – требует использования в
подсистемах САПР установленных в отрасли нормативными
документами языков программирования, способов
представления информации, терминов, символов, форматов
данных и др.
Принцип инвариантности – подсистемы и компоненты САПР
должны быть по возможности универсальными или типовыми,
т.е. инвариантными к проектируемым объектам и отраслям.
• 1.5. ОБЩЕЕ ОПИСАНИЕ ИНТЕГРИРОВАННОЙ САПР
• В интегрированную САПР (ИСАПР) электронных устройств
входят проблемно и объектно-ориентированные подсистемы и
базовые программно-методические комплексы. Основными из
них являются:
• Подсистема расчёта электронных устройств и компонентов.
• Подсистема функционального и схемотехнического
моделирования и расчёта динамических характеристик.
• Автоматизированная подсистема обеспечения надёжности и
выбора элементов (АСОН).
• Подсистема проектирования печатных плат и микросборок.
• Подсистема корпусных деталей и выпуска КД.
• Подсистема разработки и выпуска чертежей оснастки.
• Подсистема выпуска технологической документации (ТД), в том
числе программ для станков с ЧПУ.
• В ИСАПР подсистемы проектируются с
учётом их взаимодействия с подсистемами
АСУ и АСИ (автоматизированные системы
испытаний).
• Подсистемы, входящие в ИСАПР,
концентрируются в профессиональных САПР:
САПР-Р (разработчика); САПР-К
(конструктора); САПР-Т (технолога); АСИ
(автоматизированная система испытаний);
АСМТС (автоматизированная система
материально-технического снабжения) и др.
• На рис. 1.6 приведена структура
информационных связей и иерархия
подсистем в интегрированной САПР.
• Информационная связь подсистем САПР
основана на основополагающем принципе
САПР – принципе одноразового занесения
информации.
• В результате работы в ИСАПР формируется
комплект КД – необходимых описаний в
последовательности и объеме, приведённом
на рис. 1.6.
Рис. 1.6. Информационная связь и иерархия подсистем в интегрированной
САПР
1. Перечень разрешённых элементов (ПРЭ)
2. Перечень элементов к принципиальной
схеме (ПРЭ)
3. Ведомость покупных издел ий (ВП)
ТЗ
САПР -Р
4. Обмоточная записка (Д7)
5. Принципиальная схема (Э3)
(1- 10)
6. Карта рабочих режимов (КРР)
7. Карта условий эксплуатации (КУЭ)
8. Таблица показателей надёжности
9. Расчёт содержания драгоценных цветных
металлов (РР 15.1)
10. Программа испытаний
1- 5,7
САПР -К
11. Чертежи печатных плат(001)
12. Чертежи микросборок (002)
13. Чертежи деталей (003)
14. Чертежи узлов
15. Сборочный чертёж (СБ)
16. Спецификации (СП)
17. Ведомость спецификации (ВС)
18. Электромонтажный чертёж (МЭ)
(11 - 15)
19. Технологические чертежи
20. Маршрутные карты
21. Ведомость технологического маршрута
2- 5,10- 18
САПР -Т
22. Ведомость удельных норм расхода
материалов
23. Программы для станков с ЧПУ
10
АСИ
24. Бланк измеренных режимов
25. Протокол испытаний
(19 - 23)
• Каждой ОКР, проводимой на предприятии, и
каждому конструкторскому документу,
выпускаемому в рамках этой ОКР,
присваивается (по классификатору)
классификационное обозначение
(децимальный номер).
• Например, для ведомости покупных изделий
широтно-импульсного модулятора, номер
имеет вид ФЮРА. 468165.001 ВП и включает:
первые четыре буквы – код предприятия,
шесть цифр – код изделия по классификатору
(класс, подкласс, группа, подгруппа), затем
три цифры – порядковый номер, затем
обозначение вида документа.
• Обозначения для некоторых документов, входящих в
комплект конструкторской документации:
пояснительная записка – ПЗ, схема структурная – Э1,
схема функциональная – Э2, схема электрическая
принципиальная – Э3, схема электрическая
испытаний – Э8, перечень элементов – ПЭ,
ведомость покупных изделий – ВП, сборочный
чертеж – СБ, электромонтажный чертеж – МЭ,
габаритный чертеж – ГЧ, спецификация – Сп,
ведомость спецификаций – ВС, чертежи печатных
плат – 001, прочие детали – 002, расчет
электрический – РР2.
• The developer – designer – technologist
• Разработчик – конструктор – технолог
• Краткое описание профессиональных САПР
• САПР разработчика принципиальной схемы. Оформление
принципиальной электрической схемы осуществляется в
графическом редакторе Schematic пакета P-CAD 2002 (рис.
1.7).
• Все элементы выбираются из библиотек ЭРИ и размещаются
на поле чертежа, затем проводятся электрические связи между
выводами компонентов.
• После создания принципиальной электрической схемы в файле
sch сосредоточена вся графическая и текстовая информация,
необходимая для выпуска конструкторской документации: Э3,
ПЭ3, ВП.
• Кроме того, созданный список цепей, включающий
информацию об используемых компонентах и корпусах, связях
между выводами, служит исходными данными для упаковки
схемы в редакторе PCB.
• С помощью программ ПЭ.dot и ВП.dot схемотехник
автоматически формирует в текстовом редакторе Microsoft
Word перечень элементов и ведомость покупных изделий к
принципиальной электрической схеме.
Рис. 1.7. Структурная схема САПР схемотехника (формат IDF0)
Схема Э3
СТП
ТЗ
Список цепей
Разработать
принципиальную
схему
027 СТП
Схема
P-CAD
База
ЭРИ
SCH
Разработать
ТД
"Перечень
элементов"
Microsoft
Word
Перечень элементов
Схемотехник
027 СТП
ПЭ.dot
Схемотехник
Схема
SCH
Разработать ТД
"Ведомость
покупных
изделий"
бумажный документ;
электронный документ;
SCH — формат P-CAD Schematic.
PCB — формат P-CAD PCB;
ТД — текстовый документ;
Microsoft
Word
ВП.dot
Ведомость покупных
изделий
Схемотехник
• САПР конструктора. После получения файла принципиальной
электрической схемы от схемотехника конструктор в среде
пакета P-CAD формирует список цепей, производит упаковку
графических изображений корпусов ЭРИ из базы данных в
графический редактор PCB. Затем осуществляется разработка
конструктива и выпускается графическая конструкторская
документация: чертеж детали печатной платы (ПП), сборочный
чертеж.
• Спецификация и технические требования на сборочный чертеж
формируются с помощью программы СП.dot в текстовом
редакторе Microsoft Word (рис. 1.8).
• Расчет площади металлизации ПП производится в программе
подготовки фотошаблонов к производству Camtastic.
• Компоновка блока осуществляется в пакете AutoCAD на основе
прототипов – ранее разработанных проектов – либо в системе
объемного твердотельного моделирования SolidWorks, при
этом используется библиотека объемных моделей деталей и
сборочных единиц.
• Графическая конструкторская документация на механические
детали и узлы (чертежи деталей, сборочные, электромонтаж ные, габаритные чертежи) оформляется в системе AutoCAD,
текстовая (спецификация, ведомость спецификаций, таблица
соединений, таблица контактов) – в Microsoft Word.
Рис. 1.8. Структурная схема САПР конструктора
Структурная схема САПР технолога ПП
• САПР технолога печатных плат. Исходными данными для
работы технолога печатных плат служит файл печатной платы в
формате PCB. Средствами P-CAD 2002 технолог проводит
доработку фотошаблона печатной платы, подставляет таблицу
аппертур и формирует управляющую программу для
фотоплоттера Gerber, Emma .
СТП
ПП
PCB
Разработка УП
для
фотоплоттера
P-CAD
Технолог
СТП
УП
Экспозиция
фотошаблона
Фотоплоттер
Пленка
Фотошаблон
Оператор
фотоплоттера
• САПР технолога механических деталей. Разработка
управляющих программ на фрезерный станок осуществляется с
помощью САПР
ADEM. Входными данными являются файлы в формате
AutoCAD
СТП
Чертеж детали
DWG
Разработка УП
для фрезерного
станка
ADEM
Технолог
СТП
УП
Фрезерование
Фрезерный
станок
Материал
Деталь
Оператор
станка
• САПР технолога общей технологии. Исходными данными для
работы технолога служат: СТП, ТУ, ТИ-технологическая
инструкция, ТТП-типовой техпроцесс, классификатор операций,
база данных на станки, база данных на инструмент. Разработка
графической технологической документации осуществляется в
САПР КОМПАС, AutoCAD, текстовой в текстовом редакторе
Microsoft Word ( ГТД – графическая технологическая документация;
ТТД – текстовая технологическая документация )
БД на инструмент
БД на станки
СТП, ТУ, ТИ, ТТП
Комплект КД
Разработка
ГТД
ГТД
AutoCAD,
Компас
Технолог
Технологический
процесс
Классификатор
операций
СТП, ТУ, ТИ, ТТП
Готовые ТП
Разработка
ТТД
ТТД
Производство
Microsoft Word
Технолог
• 1.6. СИНТЕЗ ПРОЕКТНЫХ РЕШЕНИЙ В САПР
• Подавляющее большинство задач автоматизированного
проектирования относится к классу оптимизационных
задач, решаемых путем сравнения множества
допустимых вариантов по целому ряду критериев.
• Задачи проектирования делят на задачи синтеза и задачи
анализа.
• Под синтезом понимают построение описания системы по
заданному функционированию, т.е. задачи синтеза
связаны с созданием проектных документов и самого
проекта.
• Анализ это определение функционирования по заданному
описанию. Задача анализа – оценка проектных
документов (решений). Именно анализ позволяет
получить необходимую информацию для
целенаправленного выполнения процедур синтеза в
итерационном процессе проектирования.
• Поэтому синтез и анализ неразрывно связаны, и задачи
синтеза часто решают методом многовариантного
анализа (параметрический синтез на базе
многовариантного анализа).
• Различают синтез структурный и параметрический –
получение структуры и определение численных
значений параметров элементов в структуре.
• Синтез называют оптимизацией, если определяются
наилучшие в заданном смысле структуры и значения
параметров.
• Проектирование начинается со структурного
синтеза, при котором генерируется принципиальное
решение. Таким решением может быть физический
принцип действия датчика, или одна из типовых схем
инвертора, или функциональная схема
микропроцессора.
• Но эти конструкции и схемы выбирают в
параметрическом виде, т.е. без указания числовых
значений параметров элементов.
• Прежде чем приступить к верификации проектного
решения, нужно задать или рассчитать значения этих
параметров, т.е. выполнить параметрический
синтез.
• Постановка задач структурного синтеза. Задачи
синтеза структур проектируемых объектов относятся к
наиболее трудно формализуемым.
• Именно по этой причине структурный синтез, как
правило, выполняют в интерактивном режиме при
решающей роли инженера-разработчика, а ЭВМ
осуществляет предоставление необходимых
справочных данных, включая базы типовых
проектных решений, фиксацию и оценку
промежуточных и окончательных результатов.
• Имеются и примеры успешной автоматизации
структурного синтеза – это задачи конструкторского
проектирования печатных плат и кристаллов БИС,
логического синтеза комбинационных схем цифровой
автоматики и вычислительной техники, синтеза
технологических процессов и управляющих программ
для механообработки в машиностроении и некоторые
другие.
• Структурный синтез заключается в преобразовании
исходных описаний проектируемого объекта, содержащих
информацию о требованиях к свойствам объекта, об
условиях его функционирования, ограничениях на
элементный состав и т.п., в результирующее описание,
которое должно содержать сведения о структуре, т.е. о
составе элементов и способах их соединения и
взаимодействия.
• Исходное описание, как правило, представляет собой ТЗ
на проектирование, результатом структурного синтеза
является структурная схема электронного устройства.
• Большинство практических задач структурного синтеза
решают с помощью приближенных (эвристических)
методов, использующих специфические особенности того
или иного класса задач.
• Часто они приводят к результатам, близким к
оптимальным, при приемлемых затратах вычислительных
ресурсов.
• 1. Методы концептуального проектирования
предусматривают поиск и сравнение возможных
вариантов компоновочных решений, получаемых
варьированием типами, параметрами и связями
блоков, на основе многократного расчета и анализа,
сравнения результатов и последовательного
приближения к варианту, удовлетворяющему
требованиям задания с учетом иерархии
приоритетов.
• Применение ЭВМ позволяет увеличить число
сравниваемых вариантов и учитываемых факторов.
Но при этом открытым остается вопрос о том,
насколько окончательно принятое решение близко к
принципиально наилучшему в рамках задания, так
как задача решается без формально определенного
алгоритма перебора вариантов.
• Концептуальное проектирование ЭУ – разработка его
концепции, т.е. по существу структурной и
функциональной схем.
• 2. В теории интеллектуальных систем (системы
искусственного интеллекта) синтез реализуется с
помощью экспертных систем (ЭС)
• ЭС = <БД, БЗ, И>,
• где БД – база данных, включающая сведения о базовых
элементах; БЗ – база знаний, содержащая правила
конструирования вариантов структуры; И –
интерпретатор, устанавливающий последовательность
применения правил из БЗ.
• Трудности формализации процедур структурного синтеза
привели к популярности применения экспертных систем в
САПР, поскольку в них вместо выполнения синтеза на
базе формальных математических методов
осуществляется синтез на основе опыта и неформальных
рекомендаций, полученных в том числе от экспертов в
конкретной предметной области.
• В системах искусственного интеллекта знания отделены
от процедурной части программ и представлены в одной
из характерных форм. Такими формами могут быть
продукции, фреймы, семантические сети.
• Продукция представляет собой правило типа «если А, то В»,
где А – условие, а В – действие или следствие, активизируемое
при истинности А.
• Продукционная БЗ содержит совокупность правил,
описывающих определенную предметную область.
• Фрейм (кадр, рамка) – структура данных, в которой в
определенном порядке представлены сведения о свойствах
описываемого объекта. Типичный вид фрейма:
• <имя фрейма; x1=p1;x2=p2;...; xN=pN; q1, q2,...qM>,
• где xi – имя i-го атрибута, pi – его значение, qi – ссылка на
другой фрейм или некоторую обслуживающую процедуру. В
качестве pi можно использовать имя другого (вложенного)
фрейма, описывая тем самым иерархические структуры
фреймов.
• Семантическая сеть – форма представления знаний в виде
совокупности понятий и явно выраженных отношений между
ними в некоторой предметной области. Семантическую сеть
удобно представлять в виде графа, в котором вершины
отображают понятия, а ребра или дуги – отношения между
ними. В качестве вершин сети можно использовать фреймы
или продукции.
• 3. Эволюционные методы предназначены для поиска
предпочтительных решений и основаны на
статистическом подходе к исследованию ситуаций и
итерационном приближении к искомому состоянию
систем.
• В отличие от точных методов математического
программирования эволюционные методы позволяют
находить решения, близкие к оптимальным, за
приемлемое время, а в отличие от известных
эвристических методов оптимизации, характеризуются
существенно меньшей зависимостью от особенностей
приложения (т.е. более универсальны) и в большинстве
случаев обеспечивают лучшую степень приближения к
оптимальному решению.
• Важнейшим частным случаем эволюционных методов
являются генетические методы и алгоритмы.
Генетические алгоритмы основаны на поиске лучших
решений с помощью наследования и усиления
полезных свойств множества объектов определенного
приложения в процессе имитации их эволюции.
• 4. Если при формализации задачи все управляемые
параметры удалось представить в числовом виде, то можно
попытаться использовать методы, базирующиеся на
достижениях прикладного нелинейного программирования и
алгоритмических методах направленного поиска.
• Данные методы предусматривают формирование
функциональной зависимости массива основных параметров
(независимых переменных проектирования x1....xn) и
показателя качества F(x) и определение значений этих
параметров, обеспечивающих минимум показателя качества.
• Математически задача формулируется следующим образом.
• Найти вектор X=(x1, x2,...,xn), обеспечивающий минимальное
значение функции качества ,
F ( X )  F ( x1, x2...., xn )
• при выполнении системных ограничений
gi ( x1, x2..., xn )  0
x j1  x j  x j 2
• где i=1,2,..., m, j=1,2,...,n, D – область допустимых значений
вектора Х.
• Для поиска оптимального варианта используются
алгоритмические методы поиска экстремума
полученной целевой функции при варьировании
большим количеством независимых переменных
полной модели, которая формируется индивидуально
для каждой проектируемой системы.
• Такой подход позволяет в общем случае определить
сочетание параметров, обеспечивающих
экстремальное значение функции цели, но при этом
ввиду сложности задачи и трудности формирования
критерия не учитывается часть показателей и
параметров даже на уровне ограничений.
• Такая схема малопригодна для проектирования
высокоэффективных специализированных систем и
используется, как правило, для предварительных
оценок на основе упрощенных моделей (удельных
характеристик).
• 1.7. ВЫБОР КРИТЕРИЕВ ОПТИМАЛЬНОСТИ И МЕТОДЫ
ОПТИМИЗАЦИИ
• При проектировании на основе САПР имеется
возможность получать множество решений.
Выделение некоторого подмножества решений из
всего известного множества относится к проблеме
выбора и принятия решений.
• При этом различают два типа задач.
• Задачи выбора – это задачи, в которых всё
множество вариантов решений неизвестно.
• Задачи оптимизации, – когда всё множество
вариантов и критерий оптимизации известны.
• Если при проектировании можно выделить один
параметр как основной (например, масса), то его
естественно принять за целевую функцию F(x) или
частный критерий, при этом условия
работоспособности остальных выходных параметров
относят к ограничениям задачи (например,
коэффициент стабилизации, надежность и др.).
• Сложность постановки оптимизационных проектных
задач обусловлена наличием у проектируемых
объектов нескольких выходных параметров, которые
могут быть критериями оптимальности, но целевая
функция должна быть одна, в связи с чем возникает
проблема сведения многокритериальной задачи к
однокритериальной.
• Задачи проектирования, проводимые по нескольким
критериям, носят название многокритериальных, или
задач векторной оптимизации.
• В этих задачах частные критерии Fi(x) свертываются
в составной (интегральный) критерий,
объединяющий все выходные параметры (частные
критерии) в одну целевую функцию F(x) = Ф(F1(x),...,
Fn(x)).
• Такой критерий называют обобщённым или
интегральным критерием.
• Основные виды интегральных критериев:
• Аддитивный критерий – образуется сложением
нормированных значений частных критериев.
m
• ,
F ( x)
F ( x)   i
Ci
i 1 F0 ( x)
• где Ci – весовой коэффициент (определяет степень его
важности ( Ci  1 ));
• F0 – нормирующий делитель этого критерия.
• Мультипликативный критерий – образуется
произведением частных критериев без их нормирования
(тонно-километры). В случае неравноценности частных
критериев могут вводится весовые коэффициенты:
m
• .
Ci
F ( x)   F i ( x)
i
• Минимаксный критерий – ищется такое сочетание
значений переменных проектирования, при которых
нормированные значения всех частных критериев
становятся равными между собой:
Fi ( x)
Ci
F0 ( x)
K
• Для определения весовых коэффициентов
используются различные виды оценок.
• 1.Количество показателей n записывается по
порядку важности и каждому присваивается
вес равный:
(n  1  i )
Ci 
n(n 1)
• (для n = 3, C1 = 0.5, C2 = 0.32, C3 = 0.17).
• 2.Можно принять все веса равными: (для
трёх Ci = 0.33).
• 3.Используется также метод опроса
специалистов с усреднением их экспертных
n
оценок по условию:
ci  1

i 1
• В САПР основные методы поиска экстремума
целевой функции можно разделить на две
группы: детерминированного и
недетерминированного поиска.
• К группе детерминированных методов относятся
методы вычислительной математики:
итерационный, градиентный и др.
• К группе недетерминированных методов
относятся метод простого перебора независимых
переменных (метод сканирования), а также
методы организованного
(рационализированного) перебора, в том числе
методы планирования эксперимента.
• Комплекс мер по сокращению числа
вариантов (рационализации перебора)
включает:
• - ограничение диапазона изменения
независимых переменных (НП) на основе
опыта или предварительных расчетов;
• - использование функциональных
зависимостей между переменными и
удельных характеристик для сокращения
количества НП;
• - использование проверок (оценок) на разных
стадиях расчета, позволяющих исключить из
дальнейшего рассмотрения
неудовлетворительные результаты
(варианты).
• Детерминированные методы основаны на
пошаговом изменении управляемых
параметров: Хk+1=Хk+Хk .
• В большинстве методов приращение Хk
вектора управляемых параметров
вычисляется по формуле Хk = hg(Xk).
• Здесь Xk – значение вектора управляемых
параметров на k-м шаге, h – шаг, а g(Xk) –
направление поиска. Если выполняются
условия сходимости, то реализуется
пошаговое (итерационное) приближение к
экстремуму.
• Методы оптимизации классифицируют по ряду
признаков
• В зависимости от числа управляемых параметров Х
различают методы одномерной и многомерной
оптимизации, в первых управляемый параметр
единственный, во вторых размер вектора X не менее двух.
• Реальные задачи в САПР многомерны, методы
одномерной оптимизации играют вспомогательную роль
на отдельных этапах многомерного поиска.
• Различают методы условной и безусловной оптимизации
по наличию или отсутствию ограничений. Для реальных
задач характерно наличие ограничений, однако методы
безусловной оптимизации также представляют интерес,
поскольку задачи условной оптимизации с помощью
специальных методов могут быть сведены к задачам без
ограничений.
• В зависимости от числа экстремумов различают задачи
одно- и многоэкстремальные. Если метод ориентирован на
определение какого-либо локального экстремума, то такой
метод относится к локальным методам. Если же
результатом является глобальный экстремум, то метод
называют методом глобального поиска.
• В зависимости от того, используются при поиске производные
целевой функции по управляемым параметрам или нет,
различают методы нескольких порядков. Если производные не
используются, то имеет место метод нулевого порядка, если
используются первые или вторые производные, то
соответственно метод первого или второго порядка.
• Методы первого порядка называют также градиентными,
поскольку вектор первых производных F(X) по X есть градиент
целевой функции.
• Конкретные методы определяются следующими факторами:
• способом вычисления направления поиска g(Xk);
• способом выбора шага h;
• способом определения окончания поиска.
• Шаг может быть или постоянным, или выбираться исходя из
одномерной оптимизации – поиска минимума целевой функции
в выбранном направлении g(Xk). В последнем случае шаг
называют оптимальным.
• Окончание поиска обычно осуществляют по правилу: если на
протяжении r подряд идущих шагов траектория поиска остается
в малой е-окрестности текущей точки поиска Хk, то поиск
следует прекратить, следовательно, условие окончания поиска
• |Xk–Xk-r|<e.
• В качестве примера использования интегрального
критерия рассмотрим оптимизацию автономной
системы электроснабжения по критерию минимума
суммарной массы.
• Пусть имеется автономная система
электроснабжения, содержащая первичный источник
(ПИ) энергии (например, аккумулятор) и
полупроводниковый преобразователь (ПУ).
ПИ
ПУ
Н
• Мощность нагрузки Pн = 1000 Вт, удельный
показатель первичного источника ПИ = 25 кг/кВт.
Рассматриваются два варианта преобразователя:
• 1) ПУ1: Р = 200 Вт, М = 10 кг,
• 2) ПУ2: Р = 100 Вт, М = 11 кг,
• где Р – потери мощности в преобразователе.
• Эффективность системы определяется соотношением
•
W

•
•
•
•
•
•
•
•
•
•
Н
М ПИ  М ПУ
где Wн – энергия, отдаваемая в нагрузку.
Масса первичного источника включает две составляющие
МПИ = МН + МР ,
первая определяется мощностью нагрузки и равна
Мн= γПИ. Рн=25кг, а вторая – мощностью потерь в
преобразователе МР = Р γПИ.
Здесь γПИ. – нормирующий множитель, приводящий все
составляющие интегрального критерия к одной размерности.
Для рассматриваемых вариантов полная масса первичного
источника составит
1) 25 + 5 = 30 (кг),
2) 25 + 2.5 = 27.5 (кг).
А суммарная масса системы 40 и 38,5 кг, соответственно.
Вывод о предпочтительности второго варианта очевиден.
• При проектировании преобразователей
электрической энергии пользуются понятием
приведенной массы – аддитивным критерием,
включающим собственную массу
преобразователя и присоединенную массу
первичного источника:
• МР = Р γПИ.
• Для рассматриваемых вариантов
приведенная масса преобразователя
составляет
•
1) 10 + 5 = 15 (кг),
•
2) 11 + 2.5 = 13.5 (кг).
• Что также позволяет сделать вывод о
предпочтительности второго варианта.
• Можно показать, что при КПД преобразователя
η > 0.9 по данному критерию (mi+Рi γПИ ) = min
можно проектировать не только преобразователь
в целом, но и его отдельные компоненты с
массой mi и потерями мощности Рi.
• Интервал неопределённости при этом не
превышает 5%. Если при оптимизации учитывать
и стоимость вариантов, то необходимо
составлять интегральный (из массы и стоимости)
критерий.
• Таким образом, в критерии (mi+Рi γПИ ) = min
составляющая Рi γПИ является
системообразующим фактором, позволяющим
проектировать элемент не сам по себе, а в
интересах системы.
• 1.8. ПРИМЕНЕНИЕ МЕТОДОВ ПЛАНИРОВАНИЯ
ЭКСПЕРИМЕНТА ДЛЯ АВТОМАТИЗАЦИИ
ПРОЕКТИРОВАНИЯ
• (DOE – design of experiments)
• Для исследования и оптимизации вариантов
в САПР используются математические
методы планирования экспериментов на
моделях.
• Эти методы позволяют исследовать
поведение модели в локальной области
изменения ее параметров при сокращении
количества требуемых экспериментов.
• Сущность теории планирования эксперимента иллюстрирует
простой пример измерения величины сопротивления каждого из
трех последовательно включенных резисторов R1, R2, R3.
• Традиционными методами измеряют сопротивления каждого в
отдельности, предварительно выполнив еще одно измерение, с
замкнутыми накоротко выводами измерительного прибора для
установки нуля его показаний.
• Схему всего эксперимента, состоящего из четырех опытов,
можно представить матрицей планирования X, столбцы которой
отражают операцию измерения сопротивлений R1, R2, R3 и для
общности математических выражений обозначены x1, x2, x3;
зависимая переменная представлена вектором Y:
• X=
 1  1  1


 1  1  1
 1  1  1


 1  1  1
, Y=
 y0 
 
 y1 
 y2 
 
 y3 
,
• где знак «+» указывает, что резистор подключен к
измерительному прибору, а знак «–» означает, что значение
сопротивления резистора не измеряется.
• Значение сопротивления оценивается по результатам двух
измерений; установки нуля прибора и непосредственного
измерения величины сопротивления. При этом получаем
следующие величины сопротивлений:
•
R1 = y1 – y0, R2 = y2 – y0, R3 = y3 – y0.
• Измерение этих же последовательно включенных
сопротивлений можно провести по-другому в
соответствии со следующей матрицей:
•
 y1 
 1  1  1
•
X =  1  1  1 , Y =  y2 .


 1  1  1


 1  1  1
 
 y3 
 
 y4 
• Как и в предыдущем случае, измеряется каждое из
сопротивлений в отдельности, а в последнем
четвертом опыте измеряется полное сопротивление
всех трех резисторов, предварительная установка
нуля прибора не производится.
• Значение каждого из сопротивлений получаем
перемножением элементов вектора Y на элементы
столбцов x1, x2, x3 матрицы X c делением результата
на два, так как измерение каждого из сопротивлений
выполнено дважды.
• Таким образом, находим:
•
R1 = 0,5(y1 – y2 – y3 + y4);
•
•
R2 = 0,5(–y1 + y2 – y3 + y4);
R3 = 0,5(–y1 – y2 + y3 + y4).
• Новая схема измерений дает уменьшение дисперсии
D вдвое, а ошибки в 2 раз по сравнению с
традиционным методом при одинаковом количестве
измерений.
N
•
- среднеквадратическая ошибка.
2

(y
i
 M)
1
N
• Чтобы получить такую же точность традиционным
методом, необходимо количество измерений
увеличить вдвое.
• Повышение точности получено благодаря тому, что
значение каждого сопротивления во второй схеме
измерялось во всех четырех опытах, а по первой
схеме – только в двух.
• Таким образом, планирование экспериментов преследует две
основные цели:
• Сокращение общего объема испытаний.
• Повышение информативности каждого из экспериментов в
отдельности.
• Основные определения.
• Факторное пространство – это множество внешних и внутренних
параметров модели, значения которых контролируются при
проведении эксперимента. Так как факторы могут иметь как
количественный, так и качественный характер, их значение
указывается уровнями.
• Каждый из факторов имеет верхние и нижние уровни,
расположенные симметрично относительно некоторого нулевого
уровня. Точка факторного пространства, соответствующая
нулевым уровням всех факторов, называется центром плана.
• Интервалом варьирования фактора называется число j,
прибавление которого дает верхний уровень, а вычитание –
нижний. Обычно план эксперимента строится относительно
одного выходного параметра y, который называется
наблюдаемой переменной.
• При планировании эксперимента должны быть
решены две задачи:
• 1. Идентификация факторов. Под идентификацией
факторов понимается их ранжирование по уровню
важности. Выделяют первичные и вторичные
факторы. Первичные факторы – те факторы,
влияние которых исследуется. Вторичные факторы
не являются предметом исследований, но их
влиянием пренебречь нельзя.
• 2.Выбор уровней факторов. Уровни факторов
должны перекрывать весь возможный диапазон их
изменения. Общее количество уровней факторов не
должно приводить к чрезмерному объему
моделирования.
• Существуют следующие планы (виды)
экспериментов.
• 1. Полный факторный эксперимент N  LK , где N –
число опытов, L – число уровней (одинаково для всех
факторов), K – число факторов.
• Если имеется три фактора (x1 x2 x3) по три уровня (1,
N  27
0, –1) каждый, то общее количество опытов (табл.1.2).
• Полный факторный эксперимент целесообразно
использовать, если исследуется взаимное влияние
всех факторов. Если взаимным влиянием факторов
можно пренебречь (или оно слабое), то проводят один
из частичных факторных экспериментов (ЧФЭ).
Таблица 1.2
2. Дробный факторный эксперимент (полный для двух
уровней). Общее количество опытов N  2K
3. Ортогональный план .
Графическая интерпретация плана для 3-х факторов – куб,
имеющий 8 вершин; 6 центров граней; 1 центр куба (N=16).
•
•
4. Рандомизированный план.
Предполагает выбор значений факторов
случайным образом. Такие планы
используются для сложных многофакторных
систем.
.
5 Латинский план (квадрат). Проводится эксперимент с
одним первичным фактором и несколькими вторичными. Пусть А –
первичный; B, C – вторичные факторы. Для 3-х факторов и 4-х
уровней N=16
.
•
•
6. Эксперимент с изменением факторов по
одному.
Один из факторов «пробегает» все L
уровней, а остальные поддерживаются
постоянными. Обеспечивается
исследование влияния каждого фактора в
отдельности. Общее количество опытов
(для 3-х факторов и 4-х уровней N=12).
• Пример планирования. Простым примером
планирования эксперимента является
определение статической ошибки
стабилизатора при заданных диапазонах
изменения напряжения питания и мощности
нагрузки.
• Проводится эксперимент на модели
стабилизатора и статическая ошибка
определяется как разность между
максимальным и минимальным значениями
выходного напряжения, измеренными в
опытах при различных сочетаниях Uпит и
Рнагр.
Таблица значений факторов
•
•
•
•
•
•
Виды экспериментов:
1. Полный факторный эксперимент .
2. Дробный факторный эксперимент – исключаем
один уровень (номинальный) .
3. Эксперимент с изменением факторов по одному
– строятся характеристики Uвых(Iн), Uвых(Uпит), .
Это по существу парциальные характеристики,
сечения зависимости Uвых(Iн, Uпит).
Основным преимуществом факторного
эксперимента является то, что одновременно
варьируются все факторы.
При традиционном подходе при переходе от одного
опыта к другому изменяется только один фактор,
все остальные остаются постоянными, при этом
число опытов значительно увеличивается.
МОДЕЛИРОВАНИЕ ЭЛЕКТРОННОЙ
АППАРАТУРЫ
Воспроизведение процессов в
проектируемых системах с целью
обеспечения анализа проектных
решений возможно только путем
моделирования, т.е. создания тех или
иных аналогий интересующих
процессов.
2.1. МЕТОДЫ МОДЕЛИРОВАНИЯ СИСТЕМ
ЭЛЕКТРОННОЙ ТЕХНИКИ
• Первоначально в качестве моделей
использовались реальные системы, близкие к
проектируемой по отдельным параметрам и
характеристикам (прототипы).
• Однако необходимость выбора реальных
систем-аналогов в качестве моделей
существенно сдерживает разработку систем
принципиально нового типа, резко
отличающихся по уровням параметров и
характеристик.
• Дальнейшее развитие моделирование получило в
двух основных направлениях.
• Первое – создание электрических
(электродинамических) моделей.
• Второе – создание аналоговых моделей
электрических систем.
• Электродинамические, по существу масштабные
физические, модели создаются с помощью
функциональных элементов и связей, во многом
аналогичных реальным системам.
В их состав можно включать отдельные реальные
элементы, например, регуляторы, датчики, аппараты
защиты и т.п. Указанные особенности придают
электродинамическим моделям универсальность и
многоцелевое назначение. Они обеспечивают не
только моделирование и исследование процессов в
аналогичных системах, включая проектируемые, но и
проведение испытаний и исследований новых
элементов и приборов.
• В отличие от физического подобия процессов,
присущего электродинамическим моделям,
аналоговые модели электрических систем
строятся на основе математического подобия
интересующих процессов и процессов
аналоговых вычислительных машин (АВМ).
• Для моделирования необходимо сначала
составить математическое описание процессов в
реальной или проектируемой системе, а затем
набрать и решать задачу на АВМ со
стандартным набором элементов.
• К преимуществам аналоговых моделей можно
отнести большое быстродействие, возможность
решения относительно сложных систем
уравнений, легкость варьирования параметров
моделируемой системы, удобство наблюдения и
регистрации процессов, компактность и т.п.
• Аналоговое моделирование сталкивается с
трудностями подготовки задачи, связанными с
переводом исходной системы уравнений в
машинные уравнения, масштабированием
коэффициентов, а также невысокой точностью
решений. В связи с этим аналоговые модели
получили практическое применение для систем
небольшой сложности.
• Аналоговые модели интересны с точки
зрения присущей им двойственности.
• С одной стороны, они обеспечивают только
математическое подобие процессов,
• С другой – они реализуются в виде
физических процессов в элементах АВМ,
которые также собираются с помощью
электронных компонентов.
• Эта двойственность определяет положение
аналоговых моделей как переходных от
физических моделей к математическим
моделям.
• Наиболее эффективными являются гибридные
модели, использующие преимущества физических и
математических моделей и компенсирующие их
недостатки.
• В настоящее время гибридные модели на базе
электродинамических моделей и ЭВМ получили
наиболее широкое применение в виде
автоматизированных экспериментальных комплексов.
• В этих комплексах обеспечивается физическая
аналогия моделируемых процессов, автоматический
съем и обработка результатов испытаний, гибкая
смена параметров и элементов аппаратурного типа,
установка режимов испытаний и т.д.
• Область применения автоматизированных
экспериментальных комплексов ограничивается в
основном всесторонним обследованием опытных
образцов систем и их элементов.
• Под математическим моделированием понимают
способ исследования путем изучения явлений,
имеющих различное физическое содержание, но
описываемых одинаковыми математическими
соотношениями.
• Наиболее универсальным средством
математического моделирования являются ЭВМ,
позволяющие решать практически любого типа
системы уравнений очень высокой сложности.
• Дискретный характер переменных и арифметический
характер операций в ЭВМ требуют преобразовать
уравнения, описывающие непрерывные процессы, в
уравнения дискретных аналогов этих процессов.
Поэтому моделирование с помощью ЭВМ часто
называют цифровым или электронным
моделированием.
• Математическая модель позволяет
проанализировать предельные режимы
работы ЭУ, физическая реализация которых
опасна, а также быстро определять
статические и динамические характеристики
ЭУ и прогнозировать их изменение под
воздействием различных возмущающих
факторов.
• В общем виде математическая модель технического
объекта есть совокупность математических объектов
(чисел, переменных, матриц и т.д.) и отношений
между ними (математических схем), которые
адекватно отражают свойства технического объекта.
• При исследовании любого объекта строится его
математическая модель, которая описывает
основные закономерности объекта, затем
производятся исследования на модели и результаты
исследования модели распространяются на
исследуемый объект.
• В англоязычной литературе для обозначения этих
этапов обычно используются два отдельных понятия:
mathematical modeling (математическое
моделирование) – процесс составления
математических описаний и simulation – процесс
реализации математического описания с помощью
технических средств и процесс исследования на
модели.
• На стадии проектирования, особенно в САПР,
предпочтение отдается цифровым моделям,
исходя из общности технических средств
проектирования и моделирования,
информационной базы данных на всех этапах
проектирования, большого быстродействия и
высокой точности расчетов современных
ЭВМ, широких возможностей наглядного,
графического представления моделируемых
процессов.
• Таким образом, на современном этапе
развития средств САПР при проектировании и
исследованиях электронной аппаратуры в
процессе продвижения от замысла к готовому
изделию применяются следующие виды
моделирования.
Моделирование систем:
ММ – математическое моделирование; НЭ – натурный эксперимент;
ЦВМ – моделирование на цифровых вычислительных машинах; АЦВС –
моделирование на аналого-цифровых вычислительных системах; АВМ
– моделирование на аналоговых вычислительных машинах; МПА –
модели прямой аналогии. Электрические цепи являются моделями
прямой аналогии многих физических систем; ФМ – физическое
моделирование (масштабные макеты); ПНМ – полунатурное
моделирование.
МПА
АВМ
АЦВС
ФМ
Электрическое
моделирование
Машинное
моделирование
ПНМ
ЦВМ
НЭ
эксперимент
ММ
теория
• К основным методам исследования математических
моделей относятся:
• аналитическое исследование;
• имитационное моделирование.
• Аналитические (символьные) модели это модели, в
которых для представления процесса или системы
используются символы.
• Аналитическая модель даёт решение в замкнутом виде,
после формирования системы уравнений относительно
искомых величин, которая допускает получение нужного
результата аналитическим методом.
• Аналитическое моделирование – теоретическое
исследование объекта или его отдельных характеристик,
вывод расчётных формул, уравнений и алгоритмов, и
реализация их на ЭВМ.
• Аналитические модели могут исследоваться численными
методами, при этом путем нахождения частных решений
определяются характерные особенности объекта и
закономерности его функционирования на заданном
интервале времени или изменения параметров.
• Исторически первым сложился
аналитический подход к исследованию
систем, когда ЭВМ использовалась в
качестве вычислителя по полученным
аналитическим зависимостям.
• Чисто математическое (аналитическое)
моделирование можно реализовать когда
исследуемые системы уравнений имеют
замкнутые решения в явном виде. Как
правило, это простые случаи, не требующие
применения ЭВМ.
• Имитационная модель – описание объектов,
в том числе в форме алгоритмов, при
котором отражается (воспроизводится) как
структура системы, что достигается
отождествлением элементов системы с
соответствующими элементами алгоритма),
так и процесс функционирования системы во
времени, то есть последовательность
событий.
• Иными словами имитационная модель
обеспечивает подобие процессов, а не
характеристик объекта, как
аналитическая.
• В отличие от аналитических моделей содержание
операций, выполняемых при имитационном
моделировании, не требует преобразования исходной
математической модели к такой, которая содержит
искомые величины в явном виде.
• Поведение элементов исследуемого объекта, а также
взаимосвязи между ними описываются набором
алгоритмов, реализуемых на некотором языке
моделирования.
• Имитационное моделирование в отличие от других
методов имеет ряд преимуществ, из которых можно
выделить следующие:
• возможность описания модели исследуемого объекта
на высоком уровне детализации;
• практическое отсутствие ограничений на вид
зависимостей между параметрами модели.
• Имитационные модели не способны
формировать решение в том виде, в котором
это имеет место в аналитических моделях, а
могут лишь служить инструментальным
средством для анализа поведения системы в
условиях определяемых экспериментатором,
позволяя следить за ходом процесса.
• В этом смысле имитационное моделирование
не теория, а методология решения проблемы,
когда задача синтеза решается путем
направленного перебора при вариации
основных независимых переменных.
• Различие аналитического и имитационного подходов
иллюстрирует пример вычисления коэффициента
гармоник меандра
• Коэффициент гармоник КГ меандра можно вычислить
аналитическим методом, с допущением об идеальных
фронтах переключения.
• Известны формулы, по которым для меандра с
амплитудой и действующим значением
U D  1,
действующее значение первой гармоники
,
• а коэффициент гармоник
2 U 2
1 8 2
2 8
UD


D
1
KГ 


 48%
2
8
8
U D1
2

U D1 
4
 2
• При имитационном моделировании
воспроизводится процесс формирования
прямоугольного сигнала в схеме и
коэффициент гармоник вычисляется по
n
формуле
Ui2

K Г  i 2 2
U1
• где n – конечное число гармоник Ui,
определяемых разложением реального
сигнала в ряд Фурье.
• Точно так же статическую ошибку регулятора с
коэффициентом передачи контура обратной связи –
Ксу и коэффициентом передачи силовой части - Ксч
можно определить аналитически (x  К су y)К сч  y
откуда
xК сч
y 
1  К
су
К сч 
х
у
Ксч
Ксу
• На имитационной модели статическая ошибка
определяется в ходе проведения виртуальных
экспериментов по формуле
y  y max  ymin
• В процессе математического моделирования
возникает задача оценки соответствия
используемых для исследования
математических моделей реальному объекту.
• Эта задача обычно решается следующими
способами:
• 1. Верификация (установление
работоспособности) – проверка соответствия
поведения модели логике поведения системы.
• 2. Оценка адекватности – проверка
соответствия между поведением модели и
реальной системы путем сравнения
характеристик объекта и модели.
• Правильная организация работы с моделью
предусматривает:
• • формирование модели и определение границ ее
применяемости;
• • стратегическое планирование – планирование
эксперимента, который должен дать полную
информацию о системе (программа испытаний);
• • тактическое планирование – определение способа
проведения каждой серии испытаний,
предусмотренных планом эксперимента (методика
испытаний);
• • экспериментирование – процесс получения
требуемых данных;
• • интерпретация – построение выводов по
полученным данным;
• • документирование – регистрация хода создания
модели и осуществления проекта.
• В используемых в САПР универсальных и
специализированных моделирующих пакетах,
как правило, заложены возможности
реализации и аналитических и имитационных
моделей.
• При разработке ЭУ средствами
моделирования выполняются:
• тепловой анализ;
• механический анализ конструкции;
• электрический анализ (статика, динамика);
• анализ худшего случая;
• проектный анализ электромагнитной
совместимости;
• анализ надежности и др.
• 2.2. КЛАССИФИКАЦИЯ МОДЕЛЕЙ, ИСПОЛЬЗУЕМЫХ
ПРИ АВТОМАТИЗИРОВАННОМ ПРОЕКТИРОВАНИИ
• В зависимости от принадлежности к тому или
иному иерархическому уровню выделяют модели
системного, функционально-логического,
макроуровня (сосредоточенного) и микроуровня
(распределенного).
• Кроме того, используются понятия полных
моделей и макромоделей, моделей статических и
динамических, детерминированных и
стохастических, аналоговых и дискретных.
• Особое место занимают геометрические модели,
используемые в системах конструирования.
• Полная модель объекта в отличие от макромодели
описывает не только процессы на внешних выводах
моделируемого объекта, но и внутренние для объекта
процессы.
• Статические модели описывают статические
состояния, в них не присутствует время в качестве
независимой переменной. Динамические модели
отражают поведение системы, т.е. в них обязательно
используется время.
• Стохастические и детерминированные модели
различаются в зависимости от учета или не учета
случайных факторов.
• В аналоговых моделях фазовые переменные –
непрерывные величины, в дискретных – дискретные, в
частном случае дискретные модели являются
логическими (булевыми), в них состояние системы и ее
элементов описывается булевыми величинами.
• В ряде случаев применяют смешанные модели, в
которых одна часть подсистем характеризуется
аналоговыми моделями, другая – логическими.
• На микроуровне типичные математические модели
представлены дифференциальными уравнениями в
частных производных вместе с краевыми условиями. К
этим моделям, называемым распределенными,
относятся многие уравнения математической физики.
• Объектами исследования здесь являются поля
физических величин, что требуется при анализе
прочности конструкций, моделировании концентраций
и потоков частиц и т.п.
• Число совместно исследуемых различных сред (число
деталей, слоев материала, фаз агрегатного состояния)
в практически используемых моделях микроуровня не
может быть большим из-за сложностей
вычислительного характера.
• Резко снизить вычислительные затраты в
многокомпонентных средах можно, применив иной
подход к моделированию, основанный на принятии
определенных допущений.
• Допущение, выражаемое дискретизацией
пространства, позволяет перейти к моделям
макроуровня.
• Моделями макроуровня, называемыми также
сосредоточенными, являются системы
алгебраических и обыкновенных
дифференциальных уравнений, поскольку
независимой переменной здесь остается
только время t.
• Упрощение описания отдельных компонентов
(деталей) позволяет исследовать модели
процессов в устройствах, приборах,
механических узлах, число компонентов в
которых может доходить до нескольких тысяч.
• В тех случаях, когда число компонентов в
исследуемой системе превышает некоторый порог,
сложность модели системы на макроуровне вновь
становится чрезмерной. Поэтому, принимая
соответствующие допущения, переходят на
функционально-логический уровень.
• На этом уровне используют аппарат передаточных
функций для исследования аналоговых
(непрерывных) процессов или аппарат
математической логики и конечных автоматов, если
объектом исследования является дискретный
процесс, т.е. процесс с дискретным множеством
состояний.
• Наконец, для исследования еще более сложных
объектов, примерами которых могут служить
вычислительные системы и сети, применяют аппарат
теории массового обслуживания, возможно
использование и некоторых других подходов,
например, сетей Петри. Эти модели относятся к
системному уровню моделирования.
• Процесс моделирования состоит из этапов формирования
модели и ее исследования (решения). В свою очередь,
формирование модели включает две процедуры: вопервых, разработку моделей отдельных компонентов, вовторых, формирование модели системы из моделей
компонентов.
• Первая из этих процедур выполняется предварительно по
отношению к типовым компонентам вне маршрута
проектирования конкретных объектов.
• Как правило, модели компонентов разрабатываются
специалистами в прикладных областях, причем
знающими требования к моделям и формам их
представления в САПР.
• Обычно в помощь разработчику моделей в САПР
предлагаются методики и вспомогательные средства,
например, в виде программ анализа для
экспериментальной отработки моделей.
• Созданные модели включаются в библиотеки моделей
прикладных программ анализа.
• На маршруте проектирования каждого нового
объекта выполняется вторая процедура –
формирование модели системы с
использованием библиотечных моделей
компонентов. Как правило, эта процедура
выполняется автоматически по алгоритмам,
включенным в заранее разработанные
программы анализа.
• При применении этих программ пользователь
описывает исследуемый объект на входном
языке программы анализа не в виде системы
уравнений, которая будет получена
автоматически, а в виде списка элементов
структуры, эквивалентной схемы, эскиза или
чертежа конструкции.
• Исходными для формирования математических
моделей объектов на макроуровне являются
компонентные и топологические уравнения.
• Компонентными уравнениями называют уравнения,
описывающие свойства элементов (компонентов),
другими словами, это уравнения математических
моделей элементов.
• Топологические уравнения описывают взаимосвязи в
составе моделируемой системы.
• В совокупности компонентные и топологические
уравнения конкретной физической системы
представляют собой исходную математическую
модель системы.
• Очевидно, что компонентные и топологические
уравнения в системах различной физической природы
отражают разные физические свойства, но могут
иметь одинаковый формальный вид.
• Одинаковая форма записи математических
соотношений позволяет говорить о формальных
аналогиях компонентных и топологических уравнений.
• Такие аналогии существуют для механических
поступательных, механических вращательных,
электрических, гидравлических (пневматических),
тепловых объектов и др.
• Наличие аналогий приводит к практически важному
выводу: значительная часть алгоритмов
формирования и исследования моделей в САПР
оказывается инвариантной и может быть
применена к анализу проектируемых объектов в
разных предметных областях.
• Единство математического аппарата формирования
моделей особенно удобно при анализе систем,
состоящих из физически разнородных подсистем.
•
•
•
•
•
Компонентные уравнения имеют вид
FК (dV / dt, V, t) = 0,
а топологические
FТ (V) = 0,
где V = (v1, v2, ... vn) – вектор фазовых
переменных, t – время.
• К фазовым переменным можно отнести токи и
напряжения в электрических системах, силу и
скорость – в механических, давление и
расход – в гидравлических, тепловой поток
(мощность) и температуру – в тепловых.
• Различают фазовые переменные двух типов,
фазовые переменные типа потенциала
(например, электрическое напряжение) и типа
потока (например, электрический ток).
• Каждое компонентное уравнение характеризует связи
между разнотипными фазовыми переменными,
относящимися к одному компоненту (например, закон Ома
описывает связь между напряжением и током в резисторе),
а топологическое уравнение – связи между однотипными
фазовыми переменными в разных компонентах.
• Модели можно представлять в виде систем уравнений или
в виде эквивалентных схем.
• Компонентные уравнения простых двухполюсников:
• для R: u = i R (закон Ома),
• для C: i = C du/dt,
• для L: u = L di/dt,
• где u – напряжение (точнее, падение напряжения на
двухполюснике), i – ток.
• Топологические уравнения выражают законы Кирхгофа для
напряжений (ЗНК) и токов (ЗТК). Согласно ЗНК, сумма
напряжений на компонентах вдоль любого замкнутого
контура в эквивалентной схеме равна нулю, а в
соответствии с ЗТК сумма токов в любом замкнутом
сечении эквивалентной схемы равна нулю.
• 2.3. ВИДЫ МОДЕЛИРОВАНИЯ НА РАЗНЫХ СТАДИЯХ
ПРОЕКТИРОВАНИЯ УСТРОЙСТВ ЭЛЕКТРОННОЙ
ТЕХНИКИ
• В системах автоматизированного проектирования ЭУ
каждому этапу проектирования соответствуют
специализированные модели, методы и алгоритмы
моделирования (табл).
• Наиболее универсальными являются полные
модели элементов, описывающие все тонкие
физические взаимодействия и содержащие
всю необходимую для разработчика и
конструктора информацию об элементе.
• Но даже когда физические принципы работы
элемента хорошо известны, для
идентификации его внутренней структуры
необходимо использовать большое
количество параметров, причём определение
этих параметров часто связано с большими
экспериментальными исследованиями.
• Кроме того, на разных этапах
проектирования требуется разная
информация об элементе.
• Поэтому в подсистемах САПР различного
назначения используют специализированные
(локальные) модели элементов, которые
разделяют на следующие группы:
• Статические (интегральные) для программ
проектирования. Предназначены для расчёта
установившихся режимов и энергетических
соотношений в схемах электронных
устройств с использованием действующих и
средних значений токов и напряжений и
алгебраических уравнений.
• Динамические (дифференциальные) для
систем моделирования. Предназначены для
расчёта переходных процессов с
использованием мгновенных значений токов
и напряжений и интегро-дифференциальных
уравнений.
• Структурно-параметрическое
моделирование – исследование состава
элементов устройства, способов их
соединения и взаимодействия, т.е. выбор
схемы, ее расчёт и проектирование
компонентов.
• Функциональное моделирование –
исследование процесса преобразования
сигнала по мере его прохождения от входа к
выходу схемы. На этапе функционального
моделирования решаются вопросы
функционирования разрабатываемых
электронных устройств и определяются
оптимальные стратегии их управления.
• При этом схема разбивается на отдельные блоки, и
производится расчет формы сигнала и его основных
параметров в узлах полученной функциональной
схемы.
• При функциональном моделировании, в соответствии
с принципами информационного подхода, делается
допущение о согласовании входных и выходных
параметров блоков и потому не требуется решения
уравнений равновесия.
• При функциональном моделировании широко
используются представления отдельных блоков
схемы их передаточными функциями или
управляемыми эквивалентными источниками.
• Характерным примером задач, решаемых методами
функционального моделирования, является
исследование систем автоматического
регулирования, функциональные схемы которых
состоят из типовых звеньев – дифференцирующих,
интегрирующих, форсирующих, чистой задержки и т.д.
• На примере схемы, состоящей из делителя и усилителя,
приведен пример необходимости учета вопросов
согласования характеристик элементов схемы.
• Эквивалентные схемы для расчета коэффициента передачи
• Если считать делитель и усилитель
согласованными, то коэффициент передачи
схемы равен –1. Но приведенный ниже расчет
дает значение Кп = –2/3.
• Действительно, в соответствии с принципом
суперпозиции,
3 R)
X
(
R 3R 3
4  3 X,
R 
 R, U  
  3 X ( 2R )  2 X
U
R

3
R
4
7
3
• в схеме б)
и
7 3R 7
R R
4
 
• в схеме в) U1   Y  3  3 Y
1
 72
 
2
7
2
• При К
и Y  X
3
• Значение Кп = –1 можно получить при
3
2
U1  U1 U1  Y  X  0
7
7
выполнении условия согласования делителя и
усилителя: R делителя << R на входе
усилителя.
• Схемотехническое моделирование –
моделирование электрических процессов в
электронных устройствах, изображённых в
виде электрических схем (принципиальных и
эквивалентных).
• При схемотехническом моделировании
используются компонентные динамические
модели элементов и требуется решение
уравнений равновесия (топологических –
законы Кирхгофа) и компонентных
уравнений.
• Для анализа логических (цифровых)
устройств используется функциональнологическое моделирование.
• Классификация функциональных (макро) моделей
• Моделирование нелинейных схем требует больших
временных затрат, так как для исследования
процесса необходимо просчитать большое число
временных интервалов.
• Поэтому для снижения затрат на этапе синтеза
функциональной схемы часто используются
макромодели элементов и функциональных
устройств.
• Макромодель – это более простая, по сравнению с
моделью на компонентном уровне, модель схемы
(или её части), воспроизводящая её поведение на
уровне входных, выходных и передаточных
характеристик, и также учитывающая наиболее
важные для конкретных видов расчёта
характеристики и реакции схемы на внешние
воздействия.
• При использовании макромоделей увеличивается
скорость счёта (за счёт упрощения), но должны быть
решены проблемы точности и правильного выбора
области использования моделей, особенно
линеаризованных.
• Выделяют три способа формирования макромоделей:
• 1.Упрощающие макромодели. В основу их
формирования положен принцип редукции:
• использование более простых (идеализированных)
моделей компонентов;
• замена блоков (узлов) схемы эквивалентными
источниками тока или напряжения;
• исключение отдельных компонентов схемы, слабо
влияющих на выходные параметры схемы в данных
режимах работы.
• Для макромоделей, полученных на основе упрощений,
основным достоинством является возможность
сохранения компонентной структуры модели.
• 2. Формальные макромодели. Основаны на
формальной аппроксимации внешних характеристик
схем. Схемные элементы таких моделей не имеют
сходства с элементами истинной схемы и более того
инвариантны к различным элементным базисам.
• При таком подходе удается реализовывать модели
представленные:
• • структурой дифференциальных уравнений
(реализуются моделями математических операций, в
том числе на базе решающих операционных
усилителей);
• • моделями "черного ящика" в виде входных выходных
и передаточных характеристик – эти модели
реализуются аппроксимацией характеристик
встроенными функциями и формальными моделями
на основе управляемых источников тока и напряжения;
• • функциональными моделями узлов схемы,
логическими моделями.
• 3. Смешанное логико-схемное моделирование.
Моделирующая система содержит программу,
совмещающую схемотехническое и функциональнологическое моделирование т.е. совмещает два
различных математических базиса. Между обеими
частями информация передаётся через трансляторы,
преобразующие электрические значения напряжений
в их логические эквиваленты и наоборот.
• Таким образом, функциональное моделирование
основано на использовании спектра моделей,
позволяющего в зависимости от решаемой задачи
использовать как приёмы макромоделирования, так и
компонентное представление элементов.
• При решении задач функционального моделирования
используются как специализированные, так и
универсальные пакеты программ, библиотека
моделей которых дополняется моделями
математических операций, используемых в
дифференциальных уравнениях (интегрирование,
умножение, ограничение, суммирование и т.д.).
• Например, использование в качестве базисного
пакета моделирования PSPICE при работе в среде
PCAD, OrCAD обеспечивает связь и передачу
начерченной схемы для анализа, что соответствует
структуре сквозной САПР. Пакет PSPICE реализует
все необходимые виды анализа электронной
аппаратуры: временной, частотный, Фурье,
статистический и т.д.
• Возможность реализации формальных макромоделей,
оформленных в виде подсхем, позволяет эффективно
использовать этот пакет для структурного и
функционального моделирования сложных
динамических систем.
Способы реализации различных форм представления
моделей приведены в табл.
• Примеры реализации моделей:
• 1. Модель компаратора может быть реализована с
помощью функции sign в виде y=sign (x).
• 2. Вольт-амперную характеристику туннельного диода
IG
VG
• можно аппроксимировать с помощью табличного
описания и реализовать в виде источника тока,
управляемого напряжением на собственных зажимах
(нелинейная проводимость):
• * Модель ВАХ – табличная форма описания ИТУН
• GR 1 2 TABLE {V(GR)}= (0,0) (U1,I1)........(Un, In)
• 3. Реализация модели, описываемой системой
дифференциальных уравнений в форме Коши:
•
•
dx1
 0.5x1  x2
dt
dx2
 0.25  4 x1  0.6 x2
dt
x1(0)=0, x2(0)=0.4.
1
ic dt , формальную схему для решения

• Учитывая, что
C
системы можно представить в виде:
Uc 
1
Gx1
2
C1
R1
Gx2
0
C2
R2
• На рисунке значения переменных х1 и х2 равны
напряжениям в узлах 1 и 2 соответственно.
• Описание модели в системе PSPICE будет иметь вид:
• GX1 0 1 POLY(2) (1,0) (2,0) 0 –0.5 1
• GX2 0 2 POLY(2) (1,0) (2,0) 0.25 4 –0.6
• C1 1 0 1
• C2 2 0 1
• R1 1 0 1E9
• R2 2 0 1E9
• .IC V(1)=0 V(2)=0.4
• *В схеме GX1, GX2 – источники тока, управляемые
напряжениями в узлах 1 и 2.
• 4. Реализация модели инерционно – форсирующего
звена с передаточной функцией
1  pT1
W  K
1  pT 2
• По аналогии с методами аналогового моделирования
на базе решающих операционных усилителей,
модель можно реализовать на основе формальной
схемы с идеальным усилителем. В схеме T1=R1C1,
T2=R2C2, K=R2/ R1.
C2
C1
R2
1
2
R1
R3
3
E
• Описание модели в системе PSPICE будет иметь вид
(Е - ИНУН):
• E 3 0 2 0 –100K
• R1 1 2 (R1)
• R2 2 3 (R2)
• R3 2 0 (R3)
• C1 1 2 (C1)
• C2 2 3 (C2)
• 5. Модель генератора пилообразного
напряжения может быть реализована с
помощью эквивалентного источника
напряжения.
• Описание модели генератора «пилы» с
амплитудой 1В, длительностью 100мкс,
вершиной и спадом 1мкс в системе PSPICE
будет иметь вид:
• Up 1 0 PULSE (0 1 0 98U 1U 1U 100U)
• 6. Электро-тепловая аналогия.
• Основные соотношения электро-тепловой аналогии:
 T T 

P  1 2
RT 
P
 S
R
•
•
•
•
•
•
T
где Pp – источник тока, имитирующий рассеиваемую в элементе схемы
мощность;
Rт – тепловое сопротивление;
Т1 – перегрев элемента;
Токр – источник напряжения, устанавливающий температуру
окружающей среды;
λ – коэффициент теплопроводности материала, Вт/моС;
δ, S – длина, м и поперечное сечение материала, м2, через который
проходит тепловой поток.
• 7. Расчет магнитной цепи. В соответствии с законом
полного тока
l
l
IW  H 1l1  H 2 l 2  Ф 1  Ф 2  ФR M 1  ФR M 2
 0 S1
 0 S 2
•
l
R

• где аналогии:
- магнитное
 0 S
l
R


• сопротивление (сравни
- электрическое
S
сопротивление).
• IW - магнитодвижущая сила (МДС) намагничивающей
обмотки,
• ФR M - магнитное напряжение,
•
l
- участок магнитной цепи.
• (Помним, что
H
B
 0

Ф
)
S 0
• 2.4. СХЕМОТЕХНИЧЕСКОЕ МОДЕЛИРОВАНИЕ
• Схемотехническое моделирование (СхМ) – это
моделирование электрических процессов в
электронных устройствах, изображённых в виде
принципиальных электрических схем.
• При (СхМ) используются компонентные динамические
модели элементов и в отличие от информационного
моделирования требуется решение уравнений
равновесия (или топологических уравнений),
которыми являются первый и второй законы
Кирхгофа и компонентных (уравнения отдельных
элементов схемы) уравнений.
• Более высокая строгость описания электронных схем
позволяет получить более точное представление о
процессах в схеме, однако время моделирования
увеличивается.
•
•
•
•
•
•
•
•
Цель СхМ в точном определении формы и параметров сигналов во всех
точках схемы, для чего решаются типовые задачи СхМ:
расчет режима цепи по постоянному току в том числе при вариации
одного или нескольких источников постоянного напряжения или тока,
температуры, параметров элементов схемы;
анализ чувствительности характеристик цепи к вариации параметров
элементов;
анализ характеристик линеаризованной цепи в частотной области при
воздействии одного или нескольких сигналов;
анализ переходных процессов (временной анализ) при воздействии
сигналов различной формы и при разных начальных условиях;
спектральный анализ кривых токов и напряжений в схеме с помощью
преобразования Фурье;
многовариантный анализ при вариации любого параметра для любого
из перечисленных видов анализа, например многовариантный анализ
переходных процессов при изменении параметров корректирующего
звена;
статистический анализ, при котором случайное значение каждого
параметра рассчитывается по формуле: x  x (1   )
ном
•
•
где  – центрированная случайная величина, принимающая значения на
отрезке (–1, +1), –относительный разброс параметра x;
анализ «худшего» случая, при котором значение каждого параметра
рассчитывается по формуле
.
x  x 1   
ном
• На основе решения этих задач становятся возможными:
• проверка соответствия электрических режимов элементов
расчетным, принятым для проектирования компонентов
схемы;
• проверка, в том числе в аварийных режимах, соответствия
электрических режимов элементов предельно допустимым
по техническим условиям на них;
• проверка статической точности, спектра и качества
динамических характеристик выходных сигналов;
• контроль чувствительности схемы к изменению
параметров ее элементов, статистический анализ
(определение для схемы вероятности работоспособности
в соответствии с ТЗ при статистическом разбросе ее
параметров), анализ наихудшего случая, когда
учитываются максимальные «уходы» параметров
элементов при воздействии всех дестабилизирующих
эксплуатационных факторов.
• Современные программы СхМ, такие как, например
PSPICE, позволяют создавать входной файл с
помощью текстового редактора и графического
описания топологии (рисования схемы) с помощью
графических редакторов.
• Предложения входного языка делятся на описание
компонентов и директивы управления заданием.
• Имена элементов начинаются с общепринятых
буквенных обозначений (R, L, C, D и т.д.).
• Программа включает встроенные модели основных
типов и библиотеку параметров электрорадио
элементов – LIB.
• С помощью директив управления задается вид
анализа, способ задания начальных условий, способ
вывода результатов.
• При использовании даже самых совершенных
программ схемотехнического моделирования надо
помнить о проблеме сходимости решения. Эта
проблема обостряется, если:
• заданы слишком крутые фронты независимых
источников, или не фиксируются, как в реальных
схемах, фронты переключения импульсных
генераторов и компараторов, например RCцепочками;
• в схеме имеются реактивные элементы с очень
большим разбросом постоянных времени (проблема
«жестких» матриц);
• в схеме не «развязаны» резисторами индуктивные
звезды и емкостные контуры;
• не учтено затухание колебательных контуров с
помощью эквивалентных резисторов.
• Для парирования проблемы конвергенции
(сходимости решения) рекомендуется
использовать заложенные в программах
моделирования возможности управления
расчетом:
• увеличить количество итераций на одном
шаге расчета,
• ухудшить статическую точность расчета,
• уменьшить максимальный шаг расчета,
• отключить расчет базовой точки (по
постоянному току), при этом нужно задать
начальные условия.
• Естественно, нужно устранить
перечисленные недостатки моделей.
• Очевидно, что при СхМ необходимы
проверенные, точные модели элементов,
гарантирующие достоверность результатов.
• Такие модели разработаны и встраиваются, как
правило, в современные пакеты прикладных
программ схемотехнического моделирования.
• Все расчеты параметров моделей элементов
производят по данным и характеристикам,
взятым из технических условий на элемент, либо
по результатам экспериментальных
исследований.
• При этом используются специальные программы,
имеющиеся в современных пакетах, таких как
PSPICE (Model Editor).
• Расчет параметров моделей биполярных
транзисторов
• В современных моделирующих программах
используется схема замещения биполярного
транзистора в виде адаптивной модели ГуммеляПуна, которая позволяет учитывать эффекты,
возникающие при больших смещениях на переходах.
При некоторых упрощениях эта модель сводится к
более простой модели Эберса-Молла.
К
Rк
Б Rб
б
Iк
к
Сбк
Iбк/BR
Сбэ
Iбэ/BF
э
Iэ
Rэ
Э
Iбэ - Iбк
• Статический режим в этой схеме описывается
следующими соотношениями:
•
•
•
•
Iб  Iбэ / BF  Iбк / BR
I к  I бэ  I бк (1  BR) / BR
Iбэ  IS[exp Vбэ /Vt  1]
Iбк  IS[exp Vбк /Vt  1]
• где IS – ток насыщения при Т=270 С,
Vt – тепловой потенциал.
;
;
;
,
• В соответствии с эквивалентной схемой и приведенными
уравнениями основными параметрами модели
биполярного транзистора, зависящими от его типа,
являются:
• Статические параметры:
• BF – пpямой коэффициент усиления по току;
• BR – инвеpсный коэффициент усиления по току;
• RC – омическое сопpотивление коллектоpа, Oм;
• RB – несмещенное сопpотивление базы, Oм;
• RE – сопртивление эмиттера, Ом.
• Динамические параметры:
• CJC – емкость коллектоpного пеpехода, Ф;
• CJE – емкость эмиттеpного пеpехода, Ф;
• TF – постоянная вpемени диффузионной емкости
эмиттеpного пеpехода, с;
• TR – постоянная вpемени диффузионной емкости
коллектоного пеpехода, с.
• Для расчета сопротивления базы выбирают точки тока Iб
и напряжения Uбэ по входной характеристике
транзистора в схеме с ОЭ при Uкэ=0...10 В.
• Если входная характеристика Iб=f(Uбэ) представлена в
ТУ серией кривых, зависящих от напряжения Uкэ, то
выбор точек производят при Uкэ=0В. Точки тока Iб и
напряжения Uбэ выбирают на линейном участке входной
характеристики транзистора с высоким уровнем
инжекции.
• RB= Uбэ/Iб.
• Для расчета сопротивления коллектора выбирают две
точки тока коллектора Iк и две точки напряжения Uкэ.нас
на линейном участке характеристики "Зависимость
напряжения насыщения от тока коллектора при
Iк/Iб=const" на участке токов с B=const.
• RС= Uкэ/Iк.
• Сопротивление эмиттера можно принять равным нулю.
• Для расчета B в инверсном режиме можно
пользоваться соотношением
•
BR=BF(Iтэ/Iтк), где Iтэ, Iтк – тепловые
токи эмиттерного и коллекторного переходов.
• Коэффициент усиления в прямом включении
– BF выбирают по ТУ и, как правило типовое
значение
BR = (Bmin + Bmax)/2.
• Динамические параметры биполярного
транзистора, учитывая, что емкости Сбк и Сбэ
включают зарядную и диффузионную
составляющие, определяются по следующей
методике:
• Зарядные емкости транзистора CJC и CJE
выбирают из ТУ, равными постоянной
усредненной величине.
• Для расчета постоянной времени диффузионной
емкости эмиттерного перехода определяют по ТУ
модуль коэффициента передачи тока | h21э | в схеме
с ОЭ, граничную частоту fгр, коэффициент передачи
тока на низкой частоте определяют по характеристике
из ТУ "Зависимость Bст от тока коллектора" в точке
тока, указанного для pежима измеpения | h21э |).
•
.
TF расчета
 BF / 2 постоянной
f г р h21э
• Для
времени диффузионной
емкости коллекторного перехода определяются по ТУ
время рассасывания ts, коэффициент пеpедачи тока
в схеме с ОЭ при токе коллектора Ic и токи базы вкл.
и откл. – Iб1 и Iб2.
• Если в ТУ указаны время выключения транзистора и
время спада коллекторного тока, то ts оределяют из
соотношения: ts = tвык – tспада.
•
.
 I б1  I б 2 
TR  ts / ln 

I

I
/
BF
 б2 c

• Определение параметров модели диода
• Основными параметрами диодов,
зависящими от их типа, являются:
• RS – омическое сопpотивление диода, ОМ;
• TT – постоянная вpемени восстановления
обpатного сопpотивления диода, c;
• CJO – заpядная емкость диода, Ф;
• BV – напpяжение обpатного пpобоя, B.
• Для pасчета сопpотивления тела базы RS
выбиpают точки тока Iпp и напpяжения Uпp
на линейном участке вольт-ампеpной
хаpактеpистики пpи больших уpовнях
инжекции.
• RS= Uпр/Iпр.
• Заpядную емкость СJO, pавную усpедненной
величине, выбирают из ТУ.
• Постоянную времени восстановления обpатного
сопpотивления в pежиме пеpеключения определяют
по формуле
t  CJO Rs ln U RM / I Ro Rs
•
TT  s
ln 1  I FM Rs / U RM 
• при времени восстановления ts, суммаpном
сопpотивлении pазpяда – Rs, пpямом токе – IFM,
обратном токе отсчета – IR0 и обpатном напpяжении –
URM.
• Если в ТУ отсутствуют параметры Rs, IFM, URM, IR0, то
их значения выбирают из ГОСТа, указанного в ТУ в
разделе "Измерения электрических параметров" для
определения времени восстановления обратного
сопротивления диода в режиме переключения.
• Расчет параметров модели полевого транзистора
• Для модели полевого транзистора с p-n переходом
определяются следующие параметры:
• VTO – напряжение отсечки, В;
• BETA – удельная крутизна, A/B²;
• LAMBDA – коэффициент модуляции, 1/B;
• RD – омическое сопротивление стока, ОМ;
• RS – омическое сопротивление истока, ОМ;
• CGD – проходная емкость сток-затвор, Ф;
• CGS – входная емкость исток-затвор, Ф.
• Для расчета удельной крутизны BETA из ТУ выбирают
ток стока начальный – ICN, напряжение затвор-исток
отсечки – UZIO.
• BETA=ICN / UZIO2 .
• Проходную и входную емкости выбирают из ТУ
постоянными усредненными величинами.
• Дроссель представляется обычно моделью,
содержащей последовательно соединенную
индуктивность L и сопротивление r0.
• Сопротивление r0 определяет активные потери в
обмотке дросселя.
• Потери в сердечнике учитываются эквивалентным
сопротивлением Rs.
• Индуктивность дросселя может быть задана
постоянным значением. Нелинейность индуктивности
является функцией тока и описывается полиномом n порядка.
• При заданных коэффициентах полинома
индуктивность вычисляется по формуле
L(i )  L0 1  a1i  a2i 2 
• Трансформатор описывается обычно моделью
взаимно связанных индуктивностей или с
использованием модели магнитного сердечника.
Последовательно с обмотками включается резистор,
имитирующий их сопротивление, параллельно
первичной обмотке трансформатора и дросселя
подключается резистор, имитирующий потери в
сердечнике Rс  U12 / Pc
.
r01
r02
2
Rc
0
4
3
1
W1
W2
0
• Рис. 2.10. Схема модели трансформатора
• Модель трансформатора, схема которого
представлена на рис. 2.10, при использовании
модели сердечника из феррита М2000-НМ имеет вид.
• * Описание модели трансформатора
• Ro1 1 2 0.1
• Ro2 3 4 0.1
• Rc 2 0 5K
• L1 2 0 50
• L2 3 0 100
• K1 L1 L2 0.9999 M2000
• model M2000 CORE (MS=334e3 ALFA=2.3e-2 A=4050
K=166 C=0.05
• + AREA=0.064 PATH=2.25).
• Электролитический конденсатор представляется
трехкомпонентной моделью содержащей
последовательно включенные емкость C и активное
сопротивление потерь в конденсаторе - rc. Цепь тока
утечки имитируется резистором Rc.
• На высоких частотах модель дополняется
последовательной индуктивностью выводов – Lc.
• Модели микросхем оформляют как подсхему,
описываемую на входном языке моделирующей
программы по принципиальной схеме или схеме
замещения, или алгоритму работы микросхемы
• *Модель операционного усилителя
• subckt K140UD6A 1 2 3 4 5
• * 1– неинвертирующий вход,
• * 2– инвертирующий вход,
• * 3– питание плюс,
• * 4– питание минус,
• * 5– выход
• [ текст описания модели]
• ends.
• Вызов макромодели в схеме:
• X1 4 0 15 16 8 K 140UD6A,
• где 4, 0, 15, 16, 8 – номера узлов подключения
соответствующих выводов усилителя в схеме.
• Модели управляемых источников
• Е – источник напряжения, управляемый
напряжением (ИНУН);
• F – источник тока, управляемый током (ИТУТ);
• G – источник тока, управляемый напряжением
(ИТУН);
• Н – источник напряжения, управляемый током
(ИНУТ)
• Входные и выходные параметры зависимых
источников связываются полиномами вида:
VOUT 1  a0  a1V1  a2V12 ...
2
VOUT  2   a0  a1V1  a2V2  a3V12  a4VV

a
V
1 2
5 2  ...
• Моделирующие программные пакеты: Workbench,
Pspice, Circuit Maker, MicroCap, Matlab– Simulink,
SystemViev, Workview office, Labview.
• Proteus – моделирование микро – контроллеров
8051, AVR, PIC и др.
• Table Curve – программа для регрессионного
анализа (определения коэффициентов полиномов).
• В САПР база данных по ЭРИ должна включать:
имитационную модель, схематический символ,
электрические параметры, макет для разводки,
3D – модель.
• 2.5. ФУНКЦИОНАЛЬНО-ЛОГИЧЕСКОЕ
МОДЕЛИРОВАНИЕ ДИСКРЕТНЫХ УСТРОЙСТВ
• Для моделирования устройств вычислительной
техники и дискретных устройств автоматики
используется аппарат алгебры логики в виде теории
комбинационных устройств для проектирования
логических схем, не запоминающих информацию и
теории конечных автоматов для схем с памятью.
• Исходная информация для разработки логических
схем – функциональная схема, алгоритм её работы,
система базовых логических элементов.
• Для разработки логических схем используются:
• • типовые функциональные решения и прямой
(интуитивный) способ синтеза;
• • автоматизированный синтез.
• Процесс автоматизированного синтеза состоит из
следующих этапов:
• Формируются логические условия работы устройства
в виде таблицы истинности или логической функции.
• Производится минимизация логической функции
методом непосредственных преобразований
(дизъюнкция-или, конъюнкция-и, инвертирование-не),
карт Карно, применением законов и правил алгебры
логики.
• По упрощенной логической формуле строится
принципиальная схема устройства, причем
минимальному числу и однородности логических
элементов отдается предпочтение.
• Корректность разработанных схем оценивается
моделированием.
• Причём с помощью моделирования оценивается не
только правильность функционирования схемы, но и
исследуются переходные процессы при различных
начальных условиях, надёжность работы схемы и
оценка электрических режимов, граничные режимы и
вероятность сбоя, генерируются тесты для проверки
устройства.
• Задачи и методы логического моделирования:
• Проверка логики работы схемы.
• Анализ переходных процессов.
• Граничные испытания, проверка допустимых
нагрузок по выходам элементов схемы.
• 4. Генерация тестов.
• В зависимости от характера решаемых задач
выбирается метод моделирования.
Основными отличительными чертами
методов функционально-логического
моделирования являются:
• а) способ учёта времени распространения
сигналов;
• б) способ кодирования сигналов;
• в) способ построения модели;
• г) очерёдность моделирования элементов.
• Модели сигналов
• В зависимости от способа представления сигналов
различают двоичное и многозначное моделирование
– в алгебре логики как внешние сигналы, так и
сигналы на входах и выходах элементов
представляются:
• 1. В виде логических нулей и единиц "1", "0"
(двоичное кодирование).
• 2. В виде трех значений (троичное кодирование) "1",
"0" и "X" – обозначает переход из одного состояния в
другое, либо неопределенное состояние.
• 3. В системах более детального моделирования
используется многозначное кодирование "0", "1", "X" и
"H" -переход 0-1, "L"-переход 1-0, и "Z"-высокий
импеданс.
• Модели элементов могут задаваться одним из
следующих способов:
• Внутренней структурой компонента на уровне
элементов низшего ранга – простейших
функционально законченных элементов логической
схемы (устройства "И", "ИЛИ", "НЕ"). В качестве
отдельных элементов могут использоваться триггеры,
дешифраторы и т.д.
• Функциональными моделями "чёрного ящика", для
которых связь между входными и выходными
сигналами задаётся в виде Булевых уравнений
(автоматная модель) или таблиц истинности.
Например, для схемы ИЛИ:
• УравнениеF=A+B.
Таблица истинности
• Схема
A
1
B
F
• В зависимости от способа учёта распространения
сигнала различают:
• • синхронное моделирование без учета временных
задержек сигнала;
• • асинхронное моделирование с учетом временных
задержек, значения которых задаются в моделях
элементов.
• Синхронное логическое моделирование. Модели
представляются их логическими функциями без
учёта задержек, а сигналы кодируются в двоичной
логике.
• Применяется для оценки правильности
функционирования схемы. Моделирование работы
схемы сводится к вычислению значений сигналов на
выходах логических элементов схемы по заданным
входным сигналам.
• Вследствие того, что не учитываются времена задержки,
особенностью синхронного моделирования является решение
логических уравнений в определенном порядке,
соответствующем последовательности прохождения сигнала
через элементы схемы.
• Для определения этого порядка схему предварительно
ранжируют, чтобы к моменту решения каждого уравнения
значения всех входных сигналов были известны.
• Таким образом, под ранжированием понимается определение
очередности элементов, у которых рассчитываются выходные
сигналы.
• Входным сигналам схемы присваивается ранг r=0.
Ранжирование осуществляется автоматически.
• Результатом моделирования являются временные диаграммы.
На диаграмме для каждого такта моделирования приводятся
значения входных воздействий и выходных сигналов элементов
схемы.
• Схема считается работоспособной, если в установившемся
режиме каждому набору входных воздействий однозначно
соответствует определенное значение сигнала на выходе.
Ранжирование схемы
• Асинхронное моделирование позволяет учитывать время
распространения сигналов в элементах и соединительных цепях
схемы.
• Каждый элемент характеризуется некоторой средней задержкой,
значение которой может меняться в различных режимах.
• Временное рассогласование сигналов вследствие задержек
может привести к появлению ложного сигнала на выходе
логического элемента.
• Вероятность проявления ложных сигналов называется "риском
сбоя". Различают статические и динамические риски сбоя.
• В логических схемах с памятью, то есть в схемах с обратными
связями, под воздействием входных сигналов могут изменить
состояние сразу несколько элементов памяти.
• Окончательное состояние схемы зависит от очередности
переключения элементов памяти. Считается, что в схеме
существуют состязания сигналов обратной связи.
• Если под воздействием входного сигнала схема из одного
состояния может перейти в различные состояния в зависимости
от задержек в элементах, то в этом случае состязания называют
критическими.
• Асинхронное моделирование позволяет
выявить риски сбоев и критические
состязания, которые устраняются схемными
решениями.
• Диаграммы статического риска сбоя в схеме
«ИЛИ»
A
A
1
F
B
B
F
• Модель логического элемента для асинхронного
моделирования представляется в виде
последовательного соединения безынерционного
логического элемента и элемента задержки.
• Асинхронное моделирование заключается в
вычислении сигналов на выходах логических
элементов, с определением моментов времени, когда
произошли переключения. При асинхронном
моделировании ранжирование схемы не
производится.
• По организации очередности моделирования
элементов выделяют сквозной и событийный
методы.
• Состояния всех элементов при сквозном
моделировании многократно просчитываются через
интервал t (шаг). Шаг определяется как наибольший
общий делитель времён задержек элементов.
• Асинхронное событийное моделирование. Обычно в
активном состоянии находится только часть элементов
схемы, поэтому считать с постоянным шагом всю схему не
целесообразно.
• Следует моделировать каждый раз только те элементы, у
которых изменились входные сигналы.
• На этом принципе основано событийное моделирование,
при котором событие это изменение состояния какоголибо элемента и связанных с ним цепей.
• Время моделирования при таком подходе существенно
уменьшается, т.к. шаг определяется моментами
возникновения событий.
• Схема анализируется в окрестностях переключений
(фронтов). При этом формируются массив состояния
цепей и очередь будущих событий – моментов появления
входных воздействий.
• Результат моделирования – временная диаграмма с
обозначением "рисков сбоя" и "критических состязаний".
• Синтез тестов. В процессе производства и эксплуатации
электронной аппаратуры возникает задача проверки
правильности её функционирования, обнаружения и
локализации неисправностей.
• Проверка производится путём подачи некоторой
последовательности наборов (векторов) входных сигналов и
анализа реакции устройства на эти сигналы.
• Входной набор и соответствующий ему выходной сигнал
называют элементарной проверкой.
• Совокупность элементарных проверок – тест. Тесты бывают
контролирующие и диагностические.
• Контролирующие тесты – определяют наличие или отсутствие
неисправностей.
• Диагностические – локализуют тип и место неисправности
устройства.
• С целью упрощения тестов рассматривается ограниченный
класс неисправностей – обрыв или короткое замыкание, то есть
постоянный 0 или 1 на каком-либо выходе.
• Затем по результатам моделирования составляется
диагностическая таблица с набором тестов и нормальных
реакций схем.
• Уровни моделей и виды схем:
• Схема соединений. Ее узлы – отдельные
электронные устройства в системе.
• Структурная (блочная) схема. Ее узлы: выпрямители,
преобразователи, фильтры, регуляторы,
стабилизаторы и т.д.
• Функциональная схема. Ее узлы (операции):
модуляция, фильтрация, усиление, детектирование,
экранирование и др.
• Принципиальная электрическая схема. Элементы
схемы: транзисторы, диоды, конденсаторы,
резисторы, дроссели, трансформаторы и др.
• 5. Эквивалентная схема. Ее элементы:
сопротивление полное, эквивалентное, генераторы
тока и др.
АВТОМАТИЗИРОВАННОЕ ПРОЕКТИРОВАНИЕ
УСТРОЙСТВ И КОМПОНЕНТОВ СИЛОВОЙ
ЭЛЕКТРОНИКИ
ПРОЕКТИРОВАНИЕ УСТРОЙСТВ СИЛОВОЙ
ЭЛЕКТРОНИКИ
В общем случае задача проектирования
силовых электронных устройств осложняется
тем, что требуется рациональное
распределение массы и мощности потерь
между элементами схемы.
Наибольший эффект достигается, если
разработка ведется на системном уровне с
учетом характеристик первичных источников
электроэнергии и параметров потребителей и
применением оригинальных для каждого
класса ЭУ алгоритмов и программ их расчета.
• Решение проблемы рационального распределения массы
и мощности потерь между элементами облегчается, если
принять допущение о независимости режима любого
элемента ЭУ от изменения соотношения масса – потери
мощности других элементов.
• Тогда методика проектирования ЭУ может быть
определена как методика поузлового проектирования по
условию
M min  mi min ,
• с интервалом неопределенности (отклонение от
оптимального значения)
.
2 1   

3
• Видно, что при   0.9, δ < 7, что вполне приемлемо.
• Интервал неопределенности можно значительно
уменьшить, если учитывать присоединенную массу
первичного источника при проектировании по критерию
•
mi  Pi  min ,
•
где  – КПД ЭУ, mi , Pi – масса и потери мощности в
i-том элементе,  – удельный показатель первичного
источника (кг/вт).
• При поузловом проектировании количество
переменных ограничено, а направление и
границы их варьирования определяются
особенностями физических процессов в
схеме, что существенно упрощает задачу, и
позволяет использовать имеющиеся методики
расчета схем ЭУ, на основе которых, после
дополнения их блоками оптимизации, и
строятся программы проектирования.
• Эти программы являются оригинальными для
каждого устройства.
• При разбиении ЭУ на отдельные блоки
используется метод диакоптики:
• - исходная схема разбивается на подсхемы
(блоки);
• - в местах соединения блоков вводятся
эквивалентные источники, учитывающие их
взаимодействие (рис. 3.1).
• При этом результаты расчета каждого блока
содержат две группы параметров:
-потребляемый от предыдущего блока ток и
требуемое напряжение на его выходе,
-выходное напряжение и ток нагрузки данного
блока.
Рис. 3.1. Схема разделения ЭУ на блоки
Zk
Zi
Iн
1
2
Zн
+
Е
I нK
U1,0 K
K
-
• В соответствии с общей стратегией
проектирования можно выделить следующие
основные этапы проектировании устройств
силовой электроники:
• 1. Формирование массива исходных данных.
• 2. Формирование массива альтернативных
структур.
• 3. Определение критерия проектирования,
независимых переменных и ограничений.
• 4. Определение алгоритма варьирования
независимых переменных для достижения
экстремума заданного критерия.
• 5. Сравнительный анализ структур по
результатам их проектирования.
• Основными исходными данными для
проектирования ЭУ являются:
• • мощность нагрузки и диапазон её
изменения;
• • выходное напряжение или ток, и показатели
их качества;
• • возмущающие воздействия по цепи
нагрузки, питания и управления;
• • условия эксплуатации;
• • технические характеристики и мощность
первичного источника.
Обобщённый алгоритм проектирования (расчета) ЭУ
Начало
Ввод исходных данных
Анализ
результатов ;
корректировка
данных
и
параметров
Расчёт ЭУ ; формирование
ТЗ на проектирование
компонентов
Модели ЭУ
( программы
расчёта )
Проектирование
компонентов ; расчёт М , 
Модели
компонентов
Синтез структуры и
параметров СУ
Функциональная модель
ЭУ
Анализ установившихся и
переходных процессов
Схемотехническая модель
ЭУ
Конец
• Расчетом схемы определяются начальные значения
параметров ее элементов и их электрические
режимы.
• Эти данные являются техническим заданием на
проектирование (выбор) элементов.
• После проектирования элементов схемы
определяется масса и потери мощности в устройстве
в целом.
• Затем производится синтез структуры и параметров
схемы управления (СУ) и испытания ЭУ на модели.
• Итерационный характер процесса отражен блоком
“анализ результатов, корректировка данных и
параметров”.
• В процессе проектирования для выбранной
структуры ЭУ необходимо определить параметры
элементов и варианты их конструкторского
исполнения, обеспечивающие достижение заданного
критерия и соответствие требованиям ТЗ.
• Проектирование компонентов основано на
использовании модульных программ проектирования
основных элементов схемы (трансформаторы,
дроссели, конденсаторы, силовые
полупроводниковые элементы). Эти программы
(модели) являются инвариантными по отношению к
схемам устройств силовой электроники.
• Синтез структуры и параметров СУ основан на
допущении о том, что удается выбрать СУ без
необходимости изменения параметров силовой
части. На этом этапе используется функциональная
(упрощённая) модель ЭУ.
• На этапе анализа проверяется соответствие
разработанной схемы требованиям ТЗ (её
функциональному назначению), соответствие
режимов работы элементов требованиям ТУ на них с
заполнение карты рабочих режимов, соответствие
полученных режимов расчётным, статистический
контроль и граничные испытания.
• 3.2. АЛГОРИТМ ПРОЕКТИРОВАНИЯ ВЫПРЯМИТЕЛЯ
• Формальных (математических) методов синтеза
структур вентильных преобразователей по
требованиям технического задания в силовой
электронике пока нет, хотя исследования в том
направлении проводятся.
• Поэтому эвристический по сути этап выбора схемы
выпрямителя основан на знании видов и свойств
базовых схем выпрямления, а процедура синтеза
схемы выпрямителя сводится к выбору ее из
множества известных, на основании знания их
свойств.
• Расчетный этап по определению параметров
элементов схемы основывается на известных
теоретических соотношениях.
• Результаты расчета проверяются математическим
моделированием схемы спроектированного
выпрямителя.
• Выбор схемы выпрямителя (этап структурного
синтеза)
• Процедуру принятия решения можно формализовать,
создав в виде программы для ЭВМ соответствующую
экспертную систему, основанную на базе знаний по
силовой электронике.
• В тех случаях, когда не удается выбрать подходящую
схему выпрямителя из числа известных, потребуется
или изобретение новой схемы, или корректировка
задания на проектирование выпрямителя.
• По результатам анализа базовых схем выпрямителей
однофазного и трехфазного тока составлена сводная
таблица базовых схем и их свойств (табл. 3.1).
Параметры базовых схем выпрямителей (база знаний)
•
•
•
•
•
•
•
•
m – число фаз;
q – число полупериодов;
mq – пульсность;
кП – коэффициент пульсаций;
ka – коэффициент амплитуды анодного тока;
kф – коэффициент формы анодного тока;
 – коэффициент мощности выпрямителя;
S1,2,T – установленная (типовая) мощность 1-й, 2-й обмоток,
трансформатора;
• КТ – коэффициент трансформации трансформатора;
• I1, U1 – фазный ток и напряжение сети;
• Ub max – обратное максимальное напряжение на вентилях.
Таблица 3.1
• Интеллектуальный алгоритм выбора схемы
выпрямителя исходя из трех заданных
параметров: Pd 0 , Ud 0 , Id , с учетом
использования типовой мощности
трансформатора и использования вентилей
по обратному напряжению с коэффициентом
запаса 1,5…2 приведен на рис. 3.2.
• Интеллектуальный алгоритм формируется на
основании приведенной базы знаний и
типовых рекомендаций по выбору схем
выпрямителей и, несмотря на некоторые
упрощения, иллюстрирует образец подхода
Рис. 3.2. Интеллектуальный алгоритм выбора схемы
выпрямителя
• Пусть необходимо спроектировать выпрямитель для
обеспечения пуска и длительной работы двигателя
постоянного тока при номинальной скорости
вращения с постоянным потоком возбуждения.
• Параметры двигателя: Pн=100кВт, Uя.ном=440В,
nн=1000об/мин. Допустимые пульсации тока якоря не
более 7%. Напряжение питания обмотки возбуждения
Uв=220В.
• Ограничивающее требование: входной коэффициент
мощности выпрямителя в номинальном режиме
должен быть не ниже 0,8.
• Источник питания трехфазная сеть 220/380В (+10% –
15%) с доступной нейтралью. Мощность короткого
замыкания сети в узле присоединения
преобразователя Sкз=5000кВАр, т.е. Ккз=50.
• Согласно приведенного алгоритма можно сделать следующие
выводы.
• 1) В соответствии с заданием на проектирование выпрямитель
должен быть трехфазным и двухполупериодным (мостовая
схема), так как требуется достаточно высокое выпрямленное
напряжение.
• 2) Выпрямитель обмотки возбуждения также трехфазный, но в
связи с невысоким значением выпрямленного напряжения
может быть выполнен по однополупериодной схеме. Поскольку
коэффициенты преобразования по напряжению выбранных
схем выпрямителей различаются в два раза и их требуемые
выпрямленные напряжения также различаются в два раза.
• 3) Возможен вариант питания обеих схем от одной системы
вторичных обмоток трансформатора.
• 4) А с учетом того, что Ктр трансформатора больше единицы,
но близок к ней (понижающий трансформатор), возможен
вариант питания выпрямителей непосредственно от сети (без
трансформатора выпрямителя).
• Таким образом, экспертная система предлагает для
проектировщика три альтернативных решения, и по результатам
подробного расчета и моделирования надо выбрать одно
• 3.3. АЛГОРИТМ ПРОЕКТИРОВАНИЯ ПРЕОБРАЗОВАТЕЛЯ
ПОСТОЯННОГО НАПРЯЖЕНИЯ
• Обобщенную структурную схему преобразователя
постоянного напряжения (ППН) можно представить в
виде совокупности импульсного элемента (ИЭ) –
преобразующего постоянное входное напряжение Е в
последовательность однополярных импульсов и
содержащего силовой ключ (К) с трансформатором
(Т) и выпрямителем (В), и фильтра (Ф) для
сглаживания пульсаций выходного напряжения ППН
Е
ИЭ
К
Т
В
Ф
H
CУ
• В базовых схемах ППН трансформатор и
выпрямитель отсутствуют.
• Регулирование выходного напряжения ППН
осуществляется изменением коэффициента
заполнения импульсов:
• Uвых=КтЕ(Тимп/Т),
• где Кт – коэффициент трансформации
трансформатора,
• Тимп – длительность импульса,
• Е – напряжение источника питания
• Т – период следования импульсов.
• Основные исходные данные для проектирования
ППН:
• • мощность нагрузки и диапазон ее изменения;
• • напряжение питания и диапазон его изменения;
• • выходное напряжение или ток;
• • требуемый закон регулирования;
• • возмущающие воздействия по цепям питания,
нагрузки и управления;
• • условия эксплуатации.
• В качестве ограничений при проектировании
выступают требования ТЗ:
-допустимый коэффициент пульсаций выходного
напряжения,
-статическая и динамическая ошибка,
-требуемая надежность и др.
Структурная схема алгоритма проектирования ППН
Начало
Ввод исходных данных
L K+1 = L K +

L min , расчёт схемы
L
Проектирование компонентов
Определение m  ,

P , 
Да
m i+1 < m i
Нет
Вывод оптимального варианта
Синтез СУ
Анализ режимов
Конец
• В соответствии с существующими методиками
расчета и принципом работы, проектирование ППН
осуществляется в следующей последовательности:
• 1.По заданному диапазону изменения нагрузки
определяется минимальное значение индуктивности
дросселя фильтра Lmin, при котором выполняется
условие непрерывности тока дросселя
U T
Lmin  L имп
 I доп
•
,
• где Iдоп – допустимая пульсация тока в
дросселе фильтра при напряжении на нем UL и
длительности импульса Тимп.
•
•
•
•
•
•
•
•
•
2.При выбранном значении индуктивности дросселя
рассчитываются требуемая емкость конденсаторов входного
и выходного фильтров, электрические режимы остальных
элементов схемы.
При выборе емкости конденсатора выходного фильтра
должно выполняться условие
Сф  max Cп , СТ , Ск р 
где Сп – значение емкости, обеспечивающее требуемый
уровень пульсаций,
СТ – значение емкости, обеспечивающее выполнение
условия Тф2Т, где Т – длительность периода квантования
импульсного элемента,
– значение емкости, обеспечивающее
Lф
Ск р 
1  Rн2.мин
минимальную колебательность системы.
Здесь  – прогнозируемый КПД преобразователя.
•
•
•
•
•
•
•
3.В программах проектирования компонентов производится
проектирование и оптимизация дросселя, блока входных и
выходных конденсаторов, силовых полупроводниковых
элементов схемы, трансформатора.
4.Определяются суммарные потери мощности и масса
элементов преобразователя.
5.При проектировании ППН важно добиваться уменьшения их
массы и габаритов при высоком КПД. Этого можно добиться
увеличением индуктивности дросселя фильтра LФ и
увеличением рабочей частоты F.
В первом случае, при увеличении LФ, уменьшается загрузка
остальных элементов схемы, а следовательно и их масса.
Во втором случае уменьшается установленная мощность и
масса элементов фильтра, но увеличиваются динамические
потери в ключевых и магнитных элементах схемы, а также в
конденсаторах фильтра.
Отсюда следует, что в качестве независимых переменных
следует выбрать индуктивность Lф дросселя фильтра и
рабочую частоту F, если она не определена в ТЗ, а алгоритм
оптимизации ППН может быть реализован следующим
образом.
.
• 6.Направленным варьированием (увеличением)
индуктивности сглаживающего дросселя и повторением
пунктов 1 – 4 проводится минимизация приведенной массы
устройства при заданной частоте коммутации
• 7.Если рабочая частота ППН не задана в ТЗ, ее
оптимальное значение определяется проектированием
ППН по описанному алгоритму при различных значениях
частоты.
• Верхняя и нижняя границы зоны поиска оптимальной
частоты ограничиваются параметрами элементной базы,
мощностью нагрузки, требованиями электромагнитной
совместимости и постоянно изменяются.
• Например, 10 лет назад диапазон рабочих частот ППН
составлял 10–30кГц, в настоящее время 50–200кГц.
• 8.Часто наиболее целесообразным является вариант
параллельного включения унифицированных модулей.
• При этом мощность модулей и их рабочая частота зависят
от множества факторов: параметров элементной базы,
условий эксплуатации, назначения, технологий
изготовления.
•
•
•
•
•
9.Синтез структуры и параметров схемы управления (СУ). При
синтезе СУ необходимо определить структуру и параметры
корректирующих звеньев. Как правило, корректирующие
звенья подключаются в цепь обратной связи усилителя
сигнала ошибки.
Выбор параметров корректирующих цепей необходимо
производить на худший случай (худшее сочетание параметров
нагрузки и напряжения питания).
В частности, при изменении нагрузки меняются параметры
фильтра (индуктивность), при этом существует критическая
мощность нагрузки, которой соответствует минимальное
затухание (максимальная колебательность) системы.
Критическое значение сопротивления нагрузки можно
определить по формуле
Rн.кр 

,
»
1   
–
•
где
  Lф / Cф
характеристическое сопротивление фильтра.
Для этого случая и надо рассчитывать параметры СУ.
• 3.4. ОПТИМИЗАЦИЯ ИНВЕРТОРА С ФИЛЬТРОМ ВЫХОДНОГО
НАПРЯЖЕНИЯ ПРИ ПРОЕКТИРОВАНИИ НА МИНИМУМ
МАССЫ
• Существуют следующие способы формирования
синусоидального выходного напряжения инверторов:
амплитудная модуляция, импульсная модуляция,
использование фильтров и комбинация перечисленных
способов.
• Мощность инвертора определяется полной мощностью
нагрузки, а концепция оптимизации при проектировании
инверторов основана на перераспределении полной мощности
между его элементами за счет компенсации реактивной
мощности нагрузки и ограничения токов и мощности высших
гармоник.
• Структурная схема инвертора
VT
•
•
•
TV
VT – блок силовых транзисторов,
TV – силовой трансформатор,
Ф – фильтр.
Ф
Н
• При этом необходимо учитывать зависимость КПД
инвертора от сosφ нагрузки, которая выражается
Рн
соотношением ИФ 
Р(1)
Р

н
•
сos н ,
• где Р(1) – потери мощности в инверторе при сos = 1.
• Полная расчетная мощность элементов инвертора
зависит от степени компенсации реактивной
мощности нагрузки компенсирующим конденсатором
фильтра, включаемым параллельно нагрузке, в
соответствии с выражением
2
2
S

P

(
Q

Q
)
ни
н
н
CK
•
• где QCK – мощность компенсации.
• От степени компенсации зависит также КПД
Pн
инвертора, так как сos н 
2
2
P

(
Q

Q
)
н
н
CK
•
.
• Мощность высших гармоник определяется
гармоническим составом напряжения на входе
фильтра и уменьшается в инверторах
модуляционного типа за счет уменьшения амплитуды
и числа действующих гармоник.
• Следовательно, комбинируя способы модуляции
прямоугольного напряжения и структуру, и параметры
фильтра, можно добиться оптимального сочетания
массогабаритных и энергетических показателей,
соответствующего экстремуму заданного критерия.
• При этом, для выбранного типа фильтра, основными
независимыми переменными при проектировании
инвертора будут:
•
1. Тип модуляции (угол управления силовыми
транзисторами), определяющий спектральный состав
напряжения на входе фильтра.
•
2. Мощность компенсации QCK, определяемая
емкостью компенсирующего конденсатора Ск.
• При проектировании инвертора необходимо дополнительно
учесть следующие ограничения:
• 1.Коэффициент передачи первой гармоники Г-образного
1
фильтра зависит от нагрузки K 
п1
•
1   2 ctg 2 н
• поэтому такие фильтры используют в схемах с пониженным
содержанием гармоник, например в схемах модуляционных
инверторов, для которых отношение волновых сопротивлений
последовательного и параллельного элементов фильтра 0.
• Для резонансного фильтра Kп1  1 и не зависит от ε и он
применяется в основном при прямоугольном напряжении на
входе фильтра.
• 2.При проектировании фильтров необходимо учитывать, что
должно выполняться условие   1 , где   X LФ (1) , Х(1) –
2
X CK (1)
 min
• сопротивления элементов фильтра по первой гармонике.
• Выполнение этого ограничения обеспечивает отсутствие
резонанса и, следовательно, перегрузки по низшей в спектре
гармонике с номером min.
•
•
•
3.Можно показать, что по условию оптимальности
фильтра должно выполняться соотношение
tgн  
Если это условие не выполняется, следует
подключить параллельно нагрузке дополнительную
индуктивность Lдоп, чтобы выполнялось
Qдоп  Qн
ограничение:
 
Рн
4.Для компенсации влияния токов высших гармоник
на динамические потери в транзисторах иногда
целесообразно увеличить ёмкость
компенсирующего конденсатора, при этом ток
переключения VT можно сделать равным нулю.
Структурная схема алгоритма проектирования инвертора
• 1.Краткое описание алгоритма. Из библиотеки выбирается
модель схемы, проводится расчет токов и напряжений в
схеме при принятых допущениях, связанных с
идеализацией или упрощением моделей элементов
схемы, и по заданному значению коэффициента гармоник
определяются параметры фильтра. Затем по
соответствующим программам производится
проектирование компонентов, после чего определяются
суммарная масса и потери мощности в схеме.
• 2.Первый цикл оптимизации – по степени компенсации
реактивной мощности нагрузки. Изменением емкости
компенсирующего конденсатора добиваются
экстремального значения заданного критерия.
• 3.Второй цикл – изменение угла управления силовыми
ключами (способа модуляции). Минимизируя
спектральный состав напряжения на входе фильтра,
добиваются наилучшего значения критерия.
• 4.В результате отыскивается глобальный экстремум
критерия проектирования.
• 3.5. ПРОЕКТИРОВАНИЕ КОМПОНЕНТОВ СХЕМ
УСТРОЙСТВ СИЛОВОЙ ЭЛЕКТРОНИКИ
• В соответствии с итерационным характером процесса
проектирования программа расчёта любого компонента
должна включать две связанные подпрограммы "синтез",
то есть собственно проектирование узла и "анализ" –
проверочный расчёт с определением основных
параметров схемы замещения, потерь мощности,
перегрева и т.д. при задании режимов, отличающихся от
тех, для которых узел спроектирован.
• Тогда типовой алгоритм проектирования компонентов
должен включать следующие блоки:
• 1.Вызов модели узла из библиотеки.
• 2.Выбор: "синтез", "анализ".
• 3.Задание рабочих режимов (исходных данных).
• 4.Расчёт основных характеристик, а в задаче синтеза и
оптимизация компонента по принятому критерию,
варьированием по заданному алгоритму основных
независимых переменных.
• 5.Проверка соответствия заданным требованиям
(ограничениям).
•
• 3.6. ПРОЕКТИРОВАНИЕ ЭЛЕКТРОМАГНИТНЫХ
УЗЛОВ
• Алгоритм машинного расчёта трансформаторов и
дросселей, как правило, основан на методе
рационализированного перебора независимых
переменных путем расчёта на заданный перегрев,
при условии получения минимальной массы при
оптимальном соотношении потерь в магнитопроводе
РС и катушке РК.
• Для тороидальных трансформаторов естественного
режима (ТЕР) оптимальным считается равенство этих
потерь между собой РС = РК.
• Независимыми переменными при проектировании
трансформаторов и дросселей являются: тип и
материал сердечника, перегрев (плотность тока),
коэффициент запаса по индукции (рабочая индукция),
тип обмоточного провода.
• Расчет начинается с первого (наименьшего) сердечника в ряду
магнитопроводов с дальнейшим перебором до выбора оптимального
варианта.
• Начальные значения электромагнитных нагрузок (индукция и
плотность тока) вычисляются по характеристикам материала и
геометрическим размерам, которые занесены в массив табличных
данных.
• Расчётная индукция B выбирается не больше предельно
допустимой, равной индукции насыщения Вs.
• Проводится предварительный электрический расчёт. Если площади
сечения одного провода не достаточно, то берётся несколько
сложений провода.
• Расчёт потерь ведется с учётом вихревых токов и перегрева
трансформатора. При превышении перегрева производится
пересчёт трансформатора на больший сердечник.
• Все основные циклы, связанные с перебором параметров
проектирования, наглядно представлены на блок-схеме, их
предлагается проанализировать самостоятельно.
• В программе расчета трансформатора цикл по Рс/Рк=1 разомкнут.
Проектировщик, меняя коэффициент запаса по индукции и перегрев,
может добиться оптимального сочетания потерь в сердечнике и
обмотке с учётом всего множества влияющих факторов.
• На печать выводится значение массы трансформатора, его
геометрические размеры, энергетические показатели, ток
холостого хода, напряжение короткого замыкания, данные
обмоток и другие параметры, необходимые для конструкторской
проработки и изготовления трансформатора, а также параметры
схемы замещения для схемотехнического моделирования
трансформатора.
• В программу введены характеристики магнитных материалов
разных типов: электротехническая сталь Э360, пермаллои
34НКМП, 79НМ, феррит Н2000НМ и др. Это позволяет
правильно выбрать материал сердечника в зависимости от
рабочей частоты.
• В программы проектирования электромагнитных элементов
занесены кривые намагничивания всех используемых
материалов и зависимости удельных потерь от рабочей
индукции и частоты. Все основные расчетные соотношения в
программах проектирования берутся из типовых методик
расчета.
• Программа расчёта дросселя выполнена по аналогичной
структуре. Введено дополнительное условие по учету
напряжённости магнитного поля в сердечнике, а число витков
определяется из условия получения требуемой индуктивности
при заданном токе подмагничивания.
Структурная схема алгоритма проектирования трансформатора
• АЛГОРИТМ ПРОЕКТИРОВАНИЯ СИЛОВЫХ
ПОЛУПРОВОДНИКОВЫХ КЛЮЧЕЙ
• Концепция оптимизации полупроводниковых ключей ЭУ
заключается в определении оптимального соотношения между
количеством транзисторов выбранного типа (степенью их
загрузки) и требуемой площадью теплоотвода.
• При проектировании полупроводниковых ключей ЭУ
используется метод направленного перебора независимых
переменных.
• Для биполярных транзисторов это: N – количество параллельно
включенных транзисторов и q – степень их насыщения.
• Критерию минимума массы транзисторного узла соответствует
оптимальные N и q, при которых суммарная масса транзисторов
МТ и теплоотвода минимальна: M  S   min
T
T TO
•
• Требуемая площадь поверхности теплоотвода определяется по
формуле
P1 N
ST 
•
,где Р1 – потери в одном
KT T
транзисторе; N – число параллельно включенных транзисторов;
Т – заданный перегрев; КТ – коэффициент теплоотдачи,
учитывающий тип радиатора и способ его охлаждения; ТО –
удельная масса теплоотвода (г/вт).
• Потери мощности в транзисторе определяются
режимом его работы в схеме и складываются из
потерь в режимах отсечки
Pотс  I K 0U КЭзакр (1   )
•
,
• где UКЭзакр – напряжение на закрытом транзисторе;
• потерь в режиме насыщения Р  U I   r I 2
к . нас
кэ 0 к .ср
к к
•
;
2
Рб .нас  U бэ 0 I б .ср  rб I б 
•
;
• и динамических потерь (потерь на переключение),
которые, при линейном изменении тока и напряжения
на интервале коммутации, равны
1
Рд  I кU к f tк К п
•
,
6
•
где  – коэффициент заполнения импульса, Кп –
коэффициент перекрытия, учитывающий сквозные
токи в схеме и показывающий во сколько раз
реальный ток переключения больше расчетного. На
начальных стадиях проектирования прогнозируется.
Структурная схема алгоритма проектирования транзисторных ключей
Начало
Ввод исходных данных
Вызов модели из библиотеки
Расчёт Nmin
q = 1 , qmax
Да
Нет
q < qmax
Расчёт  P
Нет

Pi >

q=q+
Pi-1

q
Да
Расчёт ST , SVT , M 
Да
M  i < M  i-1
Нет
Выбор оптимального варианта
Конец
N=N+1
• 1.Исходя из допустимых загрузок и заданных режимов работы
силового ключа, определяется Nmin – минимальное количество
параллельно включенных транзисторов.
• 2.Для выбранного количества транзисторов рассчитывается
оптимальная степень насыщения q, соответствующая
минимальным потерям мощности Рmin.
• 3.Затем, если условие плотной компоновки, когда суммарная
площадь транзисторов равна площади теплоотвода, не
выполняется, расчёт повторяется для N+1.
• 4.При увеличении N потери P в ключе уменьшаются и,
следовательно, требуется меньшая площадь теплоотвода SТ.
Остановка программы происходит при выполнении условия:
MT  ST TO  min
• Аналогично строится алгоритм проектирования блока силовых
диодов, оптимальные режимы работы которых определяются
варьированием их типа и количества при заданных
электрических режимах и условиях эксплуатации.
• 3.8. ПРОЕКТИРОВАНИЕ КОНДЕНСАТОРНЫХ
БЛОКОВ СГЛАЖИВАЮЩИХ ФИЛЬТРОВ
• Исходные данные для проектирования:
• • тип конденсатора;
• • частота, амплитуда и форма пульсации тока;
• • требуемая емкость на заданной частоте;
• • напряжение постоянного тока;
• • заданная амплитуда пульсаций напряжения;
• • рабочий диапазон температур;
• • заданный перегрев.
• Параметры конденсатора зависят от его типономинала и
конструкции, поэтому алгоритм прямого поиска
оптимального решения для заданного типа конденсаторов
из имеющихся в библиотеке, заключается в направленном
варьировании номиналами от меньшего к большему и
количеством конденсаторов каждого номинала (N < Nmax)
до соответствия всем последовательно налагаемым
ограничениям: рабочему напряжению, требуемой ёмкости,
заданным или допустимым пульсациям напряжения,
перегреву.
• Такой перебор ввиду простоты алгоритма занимает
минимум времени и даёт возможность найти
действительный глобальный экстремум (перебором всех
номиналов данного типа), выбрав для заданных
электрических режимов и условий эксплуатации тип и
номинал конденсаторов, обеспечивающие минимальную
массу конденсаторного узла с учётом сопутствующих
элементов, например предохранителей
Структурная схема проектирования конденсаторных узлов фильтров
Начало
Ввод исходных данных
Выбор типономинала
Нет
Uраб < Uдоп
Да
Расчет C( fрез,Тмин ), Nнач
Нет
N < Nдоп
Да
Расчет
Z ( fпульс ,T+T), С, r C
Расчёт  U
N = N   Uраб / Uзад
Нет
Uрасч<
min ( U зад , U доп )
U
Да
Расчёт  P и
N=N+1
Нет

T
Tрасч < 1.1  Tзад
Да
Расчёт V  , M 
Выбор оптимального варианта
Конец
• Для электролитических конденсаторов с двухкомпонентной
схемой замещения расчёт пульсаций производится по
формулам:
U  I zc ( f )
• • для синусоидального тока:
;
• • для несинусоидального тока можно пользоваться формулой
ICc р(  )
• •
,
U 
 I r
C f
C
• где Icср(+) – среднее значение тока на интервале заряда
конденсатора, I – размах пульсациии тока, zc – полное
сопротивление конденсатора на данной частоте.
• Расчет конденсаторных блоков с определением реальной
емкости и активного сопротивления на заданных частотах
производится при минимальной и максимальной температурах,
• на печать выводится вся необходимая для разработчика и
конструктора информация.
• Максимальное количество параллельно включенных
конденсаторов ограничивается, т.к. дальнейшее увеличение не
приводит к уменьшению пульсаций из-за возрастающей длины и
сопротивления соединительных проводов.
• 3.9. МЕТОДЫ ФОРМИРОВАНИЯ МОДЕЛЕЙ ЭЛЕМЕНТОВ СХЕМ
В ПРОГРАММАХ ПРОЕКТИРОВАНИЯ
• Программы проектирования строятся на базе
моделей, описывающих основные свойства и
характеристики проектируемых элементов.
• Для программ проектирования используются
статические модели и расчёты с использованием
действующих и средних значений токов и напряжений
и алгебраических уравнений.
• Для статических моделей наиболее
распространенными являются методы
аналитического или табличного описания основных
характеристик (входных, выходных и передаточных)
элементов устройств электронной техники.
• При использовании для формирования моделей методов
регрессионного анализа для описания функции одного
переменного используются полиномы вида:
• y = a0 + a1x + a2x2 +....
• для функции двух переменных:
• y = a0 + a1x1 + a2x2 + a3x12 + a4x1x2 + a5x22 +....
• Степень полиномов определяется по виду исследуемых
характеристик и может отражать физические особенности
элемента, либо назначаться по условию минимума ошибки
описания.
• Модель элемента в этом случае представляется набором
коэффициентов ai, соответствующих данному элементу и
определяемых, как правило, методом наименьших
квадратов.
• Кроме того, модель должна содержать описание массогабаритных характеристик элемента (тип корпуса,
габариты, массу, температурное сопротивление переходкорпус) и предельно допустимые электрические параметры
для правильного его выбора.
•
3.10. МОДЕЛИ ЭЛЕМЕНТОВ ЭЛЕКТРОННЫХ СХЕМ В ПРОГРАММАХ
ПРОЕКТИРОВАНИЯ
• Формирование моделей диодов и транзисторных ключей.
• Передаточная характеристика транзистора описывается
полиномами второго порядка, входная и выходная – полиномами
первого порядка.
•
B(ik , q)  a0 (q)  a1 (q)ik  a2 (q)ik2
•
U бэ (ik , q)  U 0б (q)  Rвхiб
•
U кэ (ik , q)  U 0 к (q)  Rвыхiк , I б  I k B
• Для описания зависимости коэффициентов, приведенных
полиномов от степени насыщения q, принята гипербола:
ai (q)  c1  c2 / q
• Зависимость коэффициента передачи от температуры
учитывается полиномом вида
BТ  В25 1   Т Т К  25  
• где  Т – температурный коэффициент,
• ТК – температура корпуса.
0
• Динамические параметры транзисторов учитываются
постоянной времени транзистора:
1
•
 VT 
2 f гр
• где fгр – граничная частота.
• Времена переключения транзистора связаны с постоянной
времени соотношениями:
q
t расс  6 VT ln
qвкл  q выкл
1  qвыкл
tвкл   VT ln
вкл
qвкл  1
tвыкл   VT ln
qвыкл  1
qвыкл
• Предельные значения электрических параметров –
максимально допустимый ток коллектора и максимально
допустимое напряжение коллектор-эмиттер определяются по
графику области безопасной работы (ОБР), который, как и все
остальные параметры для модели, выбирается из технических
условий на данный тип транзистора и, при необходимости,
доопределяются экспериментально.
Структурная схема алгоритма формирования модели транзистора
Ввод исходных
данных
Интерполяция полиномами МНК зависимостей
UКЭ = f(IК , q) ; UБ = f(IК , q) ;  = f(IК , q)
Определение

VT
Формирование файла коэффициентов
в библиотеку моделей транзисторов
• Модели диодов для программ проектирования
формируются аналогично.
• Кроме предельно допустимых значений прямого тока
и обратного напряжения, модель диода включает
максимальное значение одиночного импульса тока в
функции от его длительности для оценки
правильности применения данного диода с учетом
пусковых режимов в схеме.
• 3.11. ФОРМИРОВАНИЕ МОДЕЛЕЙ
ЭЛЕКТРОЛИТИЧЕСКИХ КОНДЕНСАТОРОВ
• В основу модели положены взятые из ТУ или
определяемые экспериментально
зависимости импеданса z и тангенса
фазового угла потерь tg  от частоты f и
температуры Т, а также тока утечки
конденсатора Iут от температуры T и рабочего
напряжения Uраб.
• К основным параметрам электролитического
конденсатора относятся фактическая емкость
и активная составляющая полного
сопротивления, определяющая наряду с
током утечки потери мощности в
конденсаторе в функции от рабочей частоты.
Схема замещения и векторная диаграмма электролитического
конденсатора
UL
Ur
LC
U
rC
C

UC
Rут

IC
• Основные параметры конденсатора связаны соотношениями,
которые соответствуют векторной диаграмме:
tg  rC  C
rC  z Sin  
X C  z Cos 
z tg 
1  tg 2
1
xC 
 C
z
1  tg 2
C
1  tg 2
 z
Зависимости z = f(f, T) и tg = f(f, T), которые описываются
полиномами в процессе формирования модели, позволяют
рассчитать основные характеристики конденсатора для любой
частоты и температуры.
• В качестве исходных данных для описания зависимостей
• tg  =f(f,T), z= f(f,T), Iут =f(T)
• используются взятые из ТУ типовые характеристики и
результаты экспериментальных исследований.
• На каждой из частот определяются параметры
•

zf 
U V 2 Rщ
UV 1

2

r
• и по приведенным выше формулам C и C
• Для аналитического описания указанных зависимостей
используют интерполяционные полиномы, коэффициенты
которых получают методом наименьших квадратов, и в качестве
параметров модели заносят в библиотеку.
.
Схема измерений параметров конденсатора

V1
Rш
V2
Г3-34
G~
В3-7
+
G=
-
Б1-18
Ф2-1
• Наличие индуктивности в схеме замещения
конденсатора приводит к появлению резонансной
частоты и для частот больших резонансной частоты
полное сопротивление конденсатора становится
индуктивным.
• Порядок резонансной частоты для электролитических
конденсаторов в соответствии находится в пределах
50 кГц...3 МГц.
• С, мкФ 1000 470 100 10
• F, МГц 0.05 0.12 0.25 3
• Для компенсации индуктивности в широком
диапазоне частот и сглаживания высокочастотных
пульсаций параллельно электролитическим
подключаются высокочастотные керамические
конденсаторы.
• Токи утечки (мкА) рассчитываются по формулам,
приведённым в ТУ. Например, для конденсатора
К52–5 с напряжением U = 50 В:
•
I ут  2CU раб (0.038t   0.25)
• Рассеиваемая мощность и перегрев равны
P
•
2 rC
T 
P  I д
 U раб I ут N
 S kS
N
• где  – коэффициент теплоотдачи; S - площадь
боковой поверхности конденсаторов; kS –
коэффициент использования боковой поверхности
для теплообмена, N – количество конденсаторов.
• Кроме перечисленных параметров, модель
конденсатора должна быть дополнена его
конструктивными параметрами: массой, боковой
поверхностью, габаритными размерами.
• 3.12. МОДЕЛИ ТРАНСФОРМАТОРОВ И ДРОССЕЛЕЙ
В ПРОГРАММАХ ПРОЕКТИРОВАНИЯ
• Модели включают описание характеристик магнитных
материалов:
• • зависимость удельных потерь РC0 от частоты,
описываемая логарифмическим полиномом первой
степени log Pсо = a + b log F;
• • для прессованных сердечников зависимость
тангенса угла потерь от частоты F и напряженности H,
описываемая полиномом вида:
• tg=a0+a1F+a2H+a3FH;
• • семейство кривых намагничивания В(Н) для
различных частот, описываемое методом кусочнолинейной аппроксимации.
• Параметры сердечников, каркасов, обмоточных проводов,
изоляционных материалов, коэффициенты теплопроводности и
теплоотдачи, и др. сведены в таблицы и составляют
конструкторскую часть модели.
• Параметрами магнитопроводов (сердечников) являются:
граничная индукция, индукция насыщения, типоразмер и масса
сердечников, толщина ленты и коэффициент заполнения
магнитным материалом для витых сердечников (для
прессованных сердечников коэффициент заполнения равен
единице), теплоемкость материала сердечника.
• Основными параметрами обмоточных проводов являются:
удельное сопротивление материала (меди), температурный
коэффициент изменения сопротивления меди, удельный вес и
теплоемкость меди, диаметр каждого типономинала
обмоточного провода с изоляцией и без, коэффициент укладки
для данного типономинала провода.
• К основным параметрам изоляции относятся: толщина
межслоевой и межобмоточной изоляции, толщина изоляции на
наружной стороне: удельная масса и теплоемкость изоляции при
пропитке.
• Модель каркаса включает следующие параметры: материал,
габаритные размеры, толщина стенок, масса и теплоемкость.
МЕТОДЫ И АЛГОРИТМЫ ПРОЕКТИРОВАНИЯ УСТРОЙСТВ
СЛАБОТОЧНОЙ ЭЛЕКТРОНИКИ
• Задача структурного синтеза в общем виде может
быть решена путем полного перебора всех возможных
вариантов с их сравнением для выбора наилучшего.
• В практике САПР количество рассматриваемых
структур ограничивается из-за чрезмерной
трудоемкости, и задача решается обычно прямым
методом синтеза, состоящим из трех этапов:
• • формализации описания заданных характеристик
устройства для получения описания схемных
функций;
• • выбора из библиотеки типовых структур или
построение по полученному алгоритму
функционирования исходной модели схемы,
позволяющей реализовать требуемые
функциональные преобразования;
• • параметрической оптимизации варианта.
• Для сокращения времени поиска необходимо
осуществлять направленную селекцию, сужая
область поиска определенным классом схем.
• Процесс синтеза упрощается, если для
построения схемных структур по заданной
схемной функции определен тип элементов
(функциональных преобразователей) с
оптимальной степенью интеграции для
использования в схеме.
• На современном уровне развития САПР
широкое применение находит вариант
синтеза, основанный на объединении
методов прямого синтеза и параметрической
оптимизации - частичный структурный
синтез, заключающийся в структурнопараметрической коррекции исходного
схемного решения в соответствии с
алгоритмом (рис. 4.1).
Рис. 4.1. Блок-схема алгоритма частичного структурного
синтеза
• Для оптимизации структуры осуществляется
целенаправленное изменение (включение
или удаление) элементов, корректирующих
звеньев или целых функциональных узлов в
исходной схемной структуре с последующей
параметрической оптимизацией,
• что позволяет, с учетом имеющегося задела,
опыта и интуиции разработчика, получать
близкое к оптимальному решение задачи.
• Для обеспечения этих возможностей в
системе должен быть предусмотрен архив
(база знаний), позволяющий использовать
типовые функциональные решения.
Степень автоматизации процесса может быть
различна:
• • разработчик указывает узлы коррекции, их
приоритет и последовательность
подключения различных корректирующих
звеньев;
• • разработчик указывает узлы коррекции и их
приоритет, а выбор корректирующих звеньев
и их подключение осуществляются
программно.
•
• При этом разработка методов и алгоритмов
формализации процесса определения возможных
узлов коррекции и их приоритета в зависимости от их
влияния на обрабатываемую функцию схемы,
методов перебора варьируемых параметров, а также
проблема формирования функции качества
(критерия) приобретает важное значение.
• Существуют задачи приближения к характеристике,
заданной по точкам, задачи с изменяющимися
условиями, задачи достижения экстремальных
характеристик, когда экстремальное значение
неизвестно.
• В таких случаях программное обеспечение САПР
должно включать модули обработки выходных
характеристик и вычисления функции качества
• Например, для минимизации монотонных переходных
процессов можно использовать линейную интегральную оценку
вида:
Т
• ,S  Y (t )dt
0

0
• где Y(t) – переходная характеристика, Т – время переходного
процесса.
• Для оценки качества воспроизведения синусоидального сигнала
используется интегральная оценка в виде коэффициента
гармоник
N
K  U *2
Г

2
U* 
U
U1
•
, где
– относительное значение ν-й гармоники,
• N – количество учитываемых гармоник.
• Для оценки качества усилителя используется коэффициент
усиления К0 по напряжению, току или мощности в пределах
полосы пропускания, верхняя и нижняя граничные частоты
которой задаются на уровне 0.7К0. Как правило, определяются
наклон и линейность амплитудно-частотной характеристики в
диапазоне частот.
• При организации процесса синтеза
целесообразно избегать излишне
формального подхода, заменяя его на
итеративный метод проб и ошибок.
• При этом разработчик дает направление
пробам, определяя цель, функцию качества,
параметры поиска, ограничения.
• Реализация такого подхода возможна при
наличии в САПР мощных средств анализа, в
первую очередь универсальных пакетов для
моделирования электронных
функциональных преобразователей и
электронных систем различного назначения.
ЭЛЕКТРОННЫЕ ФУНКЦИОНАЛЬНЫЕ ПРЕОБРАЗОВАТЕЛИ
– БАЗОВЫЕ ЭЛЕМЕНТЫ СХЕМ
•
•
•
•
Все многообразие функциональных элементов
можно свести к четырем основным (базовым) типам:
генераторы сигналов,
безынерционные элементы,
инерционные линейные элементы,
инерционные нелинейные элементы.
Основной характеристикой элемента является его
функция преобразования, связывающая его входной
и выходной сигналы.
• Генераторы сигналов разделяют на
независимые и управляемые, формирующие
ту или иную форму сигнала в зависимости от
управляющего воздействия U.
x(t )  xi (t ) при U.  U i
•
• К управляемым генераторам относятся
различные пороговые устройства, выходной
сигнал которых зависит от превышения
уровнем входного сигнала некоторого
порогового значения.
• Безынерционные линейные или нелинейные
элементы – идеализированные реальные элементы
с высоким быстродействием и точностью
преобразования входного сигнала.
• Функция пребразования такого элемента линейная
или нелинейная функция вида
y=f(x).
• Важным частным случаем является безынерционный
элемент с памятью, например триггер. Его функция
преобразования зависит не только от x, но и от
состояния элемента s: y=f(x,s) и связь между y и x
устанавливается уравнениями алгебры логики или
таблицами истинности.
• Разнообразие типов безынерционных элементов
определяет широту их применения.
• По типу преобразования сигнала безынерционные
электронные функциональные преобразователи
можно классифицировать в соответствии с табл. 4.1.
• Безынерционные линейные или нелинейные элементы,
реализующие функциональное преобразование y = f(x),
бывают статическими и динамическими.
• Статические – преобразователи мгновенных значений
(усилитель, сумматор, логарифмический усилитель,
ограничитель), преобразующая характеристика которых
это функция, ставящая в соответствие числу число.
• Динамические – преобразователи параметров и формы
полного сигнала заданного некоторым множеством
значений. Динамическими они называются, потому что
для определения Y в таких преобразователях (хотя они и
относятся к безынерционным) требуется время для
получения и обработки всего сигнала X. Например, в АЦП
для определения Yi(t) на интервале квантования
совершаются 3 операции: выборка и хранение
аналогового сигнала, квантование его по уровню и
кодирование в цифровой сигнал, т. е. для определения
Yi(t) необходимо получить весь сигнал X(t) на некотором
интервале t1 – t2 и проанализировать его.
• Преобразующей характеристикой
безынерционного элемента является
оператор, если функции на входе ставится в
соответствие функция на выходе или
функционал, когда функции на входе
ставится в соответствие число на выходе.
• К этому типу элементов относятся
импульсные, аналого-импульсные и
импульсно-аналоговые элементы.
• Функция преобразования таких элементов в
программах проектирования и
моделирования часто представляется в виде
алгоритма.
• Инерционные линейные элементы описываются интегродифференциальными уравнениями и во временной области
характеризуются переходной характеристикой h(t), а в частотной
области – комплексным коэффициентом передачи K(j).
• В большинстве случаев инерционный нелинейный элемент
можно описать системой дифференциальных уравнений вида
•
dyi (t )
dx (t )
 gi ( y(t ))  i  fi ( x(t ))
dt
dt
, i=1...n,
• где x(t) и y(t) – входной и выходной сигналы элемента, n –
порядок системы.
• Методы моделирования и алгоритмы формирования моделей
функциональных элементов электронных схем различны и, как
показано в гл. 2, зависят от способа описания характеристик
элементов и области – временной или частотной, – в которой
выполняется моделирование.
• Эти методы реализуются в специализированных и
универсальных моделирующих программах.
ПРОЦЕДУРЫ МИНИМИЗАЦИИ ПРИ ПРОЕКТИРОВАНИИ
ФУНКЦИОНАЛЬНЫХ ПРЕОБРАЗОВАТЕЛЕЙ
Начальное проектирование
функциональных преобразователей
проводится обычно в предположении, что
цепь состоит из идеальных элементов.
В реальной цепи отклик отличается от
желаемого из-за потерь, неидеальности
частотных характеристик, паразитных
параметров элементов и т. п.
Возникает необходимость проведения
последовательности минимизаций этих
отклонений с использованием следующих
методов.
• Многовариантный расчет (анализ) схем – многократное
вычисление выходных параметров для заданных наборов
(вариантов) внутренних параметров.
• Многовариантный анализ используется как на начальных
этапах проектирования, так и при отработке схемы и
позволяет разработчику провести всестороннее изучение
схемы, определить зависимость выходных параметров от
внутренних параметров и их разброса в заданном
диапазоне внешних воздействий.
• Только при наличии такой информации разработчик
может судить о возможности реализации схемы на
данных элементах и о соответствии ее функционирования
техническому заданию.
• Алгоритм варьирования (определения наборов)
внутренних параметров определяется в том числе с
использованием метода планирования экспериментов.
• Анализ чувствительности.
• Под чувствительностью понимают реакцию схемы на
малое изменение ее внутренних параметров X.
• Количественная оценка изменения выходного
параметра Y при заданном изменении параметра х
называется коэффициентом чувствительности.
• Анализ чувствительности необходим при
определении требований к точности параметров
элементов схемы при их выборе, а также при
проектировании схем, работающих в сложных
условиях эксплуатации, когда элементы схемы
подвержены ускоренному старению и температурным
изменениям.
• .
• Наиболее простым и распространенным
методом расчета чувствительности является
метод приращений, основанный на
численном дифференцировании.
• Коэффициент чувствительности j-го
выходного параметра к изменению i-го
внутреннего параметра определяется по
формуле
y j y j  x1, x2 ,...xi  xi ..., xn   y j  x1, x2 ,...xi ..., xn 

xi
xi
• Алгоритм расчета включает в себя следующие этапы.
• Расчет выходных параметров в номинальном режиме,
т.е. вычисление вектора Y(x1, x2,...,xn).
• Выполнение n вариантов расчета, в каждом из
которых дается отклонение от номинального
значения только одному из xi.
• При этом каждый раз получается вектор выходных
параметров Y(x1,x2,...,xi+xi,...,xn), каждая j-я
компонента которого определяет коэффициент
чувствительности j-го выходного параметра к
изменению i - го внутреннего параметра.
• Всего требуется (n+1) вариантов расчета схемы, где n
– число внутренних параметров, по которым
исследуется чувствительность
• Метод расчета на наихудший случай.
• Цель расчета на наихудший случай состоит в
определении вектора выходных параметров Yнс,
компоненты которого являются наихудшими
среди всех возможных.
• Получаемые с помощью этого метода оценки
рассеяния значений выходных параметров
сильно завышены, так как получаются без оценки
плотности их распределения.
• Метод применяется, если известны предельно
возможные отклонения xi внутренних
параметров от своих номинальных значений.
• Данный метод удобен тем, что для его
применения не требуется знания законов
распределения внутренних параметров Х и
числовых характеристик этих законов.
• Выходной параметр yj достигает верхней
границы своего диапазона рассеяния, когда
все внутренние параметры xi принимают
самые неблагоприятные значения.
• Алгоритм расчета строится исходя из
предположения, что знаки коэффициентов
чувствительности, определяющие
направление влияния данного внутреннего
параметра на выходной параметр, остаются
неизменными.
• Проводится анализ чувствительности, в
результате которого определяются знаки
коэффициентов чувствительности
sign (yj / xi) для всех выходных параметров.
• Внутренним параметрам присваиваются
самые неблагоприятные значения:
• xiнс = xiном – (sign (yj / xi)) xi
для условия работоспособности yj > yjдоп,
• xiнс = xiном + (sign (yj / xi)) xi
для условия работоспособности yj < yjдоп,
•
С принятыми параметрами выполняется
анализ схемы, т.е. расчет выходного
параметра yj для наихудшего случая.
• Вероятность сочетания параметров
элементов схемы, близких к самым
неблагоприятным, мала. Поэтому более
реальную картину дают методы
статистических испытаний.
• Статистический анализ. Целью
статистического анализа является
определение процента выхода годных схем,
соответствующих техническому заданию при
данном конкретном разбросе параметров Х.
• Статистический анализ схемы сводится к
расчету вероятности Р(Х) того, что вектор
внутренних параметров Х, определяющий
состояние схемы находится в области
работоспособности.
• Исходной информацией для статистического
анализа являются характеристики законов
распределения внутренних параметров Х, а
результатом расчета характеристики законов
распределения выходных параметров Y.
• Анализ проводится методом статистических
испытаний (метод Монте-Карло). Алгоритм
статистического анализа методом Монте-Карло
представлен на рис. 4.2.
• Здесь N – запланированное число статистических
расчетов, k – номер текущего испытания.
• Сущность метода в многократном повторении
расчета (анализа) схемы, при варьировании
параметров Х по заданному случайному закону.
Случайное значение каждого параметра
рассчитывается по формуле
x  xном (1 ,  )
•
• где  – центрированная случайная величина,
принимающая значения на отрезке (–1, +1),
 – относительный разброс параметра x.
Рис. 4.2. Структурная схема алгоритма статистического
анализа
Моделирование входных параметров по
случайному закону
Анализ схемы
Обработка результатов очередного испытания
Да
kN
Нет
Вывод результатов
• Каждый расчет эквивалентен одному испытанию
реальной схемы.
• Если из общего числа N назначенных испытаний
результаты М испытаний удовлетворяют требованиям
ТЗ, то отношение М/N характеризует искомую
вероятность Р(Х) получения годных схем.
• По результатам статистического анализа можно
оценить числовые значения характеристик
распределения выходных параметров –
математическое ожидание:
N
M j   y jk / N
k 1
• j-го выходного параметра
• и среднеквадратическое отклонение
•
•
•
j  D
y jk  M j  /  N 1


,
k 1
N
где D – дисперсия.
2
• Преимущество метода заключается в
возможности с его помощью проектировать
(зная статистические сведения о параметрах)
сложные интегральные схемы с большим
числом корреляционных связей между
параметрами. Практически для расчета с
ошибкой 5–10% необходимо 500–1000
испытаний, что вполне приемлемо при
использовании современных компьютеров.
СИСТЕМЫ АВТОМАТИЗИРОВАННОГО
ПРОЕКТИРОВАНИЯ В МИКРОЭЛЕКТРОНИКЕ
• В настоящее время, на фоне опережающего
развития субмикронной полупроводниковой
технологии, в мировой микроэлектронике
наблюдается стремительное развитие
наиболее перспективного направления
разработки принципиально новых
методологий и средств автоматизированного
проектирования сверхсложных интегральных
«систем в кристалле» (system on chip» –
SOC) как технологии реализации узлов
электронной аппаратуры.
• Ведущие электронные фирмы, наряду с разработкой
и массовым производством микросхем
высокоинтегрированных процессоров высокой
производительности, памяти сверхбольшой ёмкости,
начали использовать принципиально новый подход к
реализации специализированных сверхсложных
интегральных схем на основе методологии SOC.
• «Система в кристалле» объединяет на одном
кристалле так называемые «виртуальные
компоненты» (VC) в виде блоков «интеллектуальной
собственности» («Intelectual property» или IP-блоки).
• К данным IP-блокам относятся IP-блоки процессоров
различного вида, IP-блоки аналоговых узлов, памяти,
интерфейсов, «жесткой» логики, а в будущем могут
также относиться, например, оптико-микроэлектронные механические системные компоненты.
• Повторное использование широкой номенклатуры IPблоков существенно сокращает сроки разработки
SOC.
• При этом на системном и функциональном уровне
происходит объединение средств САПР электронных
схем и систем SOC и могут быть реализованы
несколько траекторий проектирования:
• • на основе электрической схемы, выполненной в
любой библиотеке элементов фирма – изготовитель
производит автоматизированный перевод проектов в
базис библиотек IP-блоков;
• • фирма – изготовитель производит
автоматизированное проектирование электронного
устройства в базисе библиотек IP-блоков на основе
технического задания заказчика.
• В целях наиболее эффективного использования
современных средств САПР аппаратуры и
элементной базы при разработке перспективной РЭА
формируется распределенная структура базовых
центров САПР (Дизайн-центры).
• Базовые центры САПР призваны обеспечить
ускоренное освоение, эффективное использование
современных методов и средств САПР по своим
направлениям техники, с распространением своего
опыта на другие предприятия.
• Развитие работ по САПР и IP-блокам создает
реальную основу проектирования «систем в
кристалле» сложностью, оцениваемой в миллионы
логических элементов.
АВТОМАТИЗИРОВАННЫЙ СИНТЕЗ СИСТЕМ
УПРАВЛЕНИЯ
• В системах с ЭУ используют все основные принципы,
разработанные в теории автоматического управления:
• • жесткое программное управление, когда
формирование управляющих воздействий
производится по заданному закону, не зависящему от
действующих возмущений и фактического значения
выходных координат ЭУ;
• • управление, основанное на информации о
фактическом значении выходной координаты y(t),
получаемой по каналу отрицательной обратной связи,
в качестве которой используют значение отклонения
координаты у(t) (или ее среднего или действующего
значения) от заданного значения .
Этот вид управления называют управлением по
отклонению. Задачей управления является
минимизация отклонения y(t) от заданного значения;
• • управление, основанное на использовании
информации о действующих возмущениях,
основное достоинство которого высокое
быстродействие.
• Применяют также комбинированное
управление, сочетающее в себе программное
управление, управление по отклонению и по
возмущению.
• Можно выделить три подхода к решению
задач проектирования и синтеза систем
управления.
• Первый подход базируется на операторных
методах, имеющих дело с преобразованиями
в плоскости комплексной переменной s или z,
а также на методе корневого годографа.
• При использовании этого подхода
проектирование системы осуществляется
последовательными приближениями и
поэтому его называют также методом проб и
ошибок.
• При проектировании системы требуется
удовлетворять ряду требований,
предъявляемых к частотным или временным
характеристикам системы.
• Этими характеристиками являются запас по
усилению, запас по фазе, показатель
колебательности, выходной импеданс, время
нарастания и время установления процессов,
максимальное перерегулирование.
• Для удовлетворения заданных требований
обычно производится регулировка усиления и
коррекция частотных и временных
характеристик системы.
• Второй подход, часто называемый аналитическим,
основан на использовании для оценки качества
системы интегральной квадратичной и
среднеквадратичной ошибки

•
.
2
J 0   yпер (t )dt
0
• Эти критерии качества были впервые предложены
Винером и Холлом.
• Проектирование сводится к расчету
компенсирующего фильтра при использовании
классических вариационных методов для
минимизации ошибок.
• Этот подход может быть применен к линейным
системам, а также и к линейным дискретным
системам.
• Третий подход к проектированию систем
управления, являющийся обобщением второго,
включает следующие этапы:
• Вначале задается или составляется, например, на
основе второго метода Ляпунова, функционал от
переменных управления и состояния процесса.
• Затем определяется закон управления или
управляющая последовательность, доставляющая
функционалу максимум или минимум.
• Закон управления выражает управляющее
воздействие в виде функции координат состояния
объекта, что в результате приводит к системе с
обратной связью.
• В относительно простых системах оптимальный
закон управления можно реализовать с помощью
корректирующего устройства или активного фильтра.
• С точки зрения инженерной практики, в решении
задачи синтеза системы управления методически
можно выделить 2 этапа.
• На первом этапе конструируется объект
регулирования и «ядро» регулятора с такими
статическими характеристиками, при которых
обеспечивается существование множества
статических равновесных состояний в заданной
области.
• Эта задача решается чисто инженерными
средствами – расчетом схемы и регулировочной
характеристики.
• На втором этапе обеспечивается физическая
реализуемость этих состояний, т.е. их устойчивость
путем подбора параметров регулятора с
добавлением при необходимости корректирующих
устройств.
• В настоящее время в технике преимущественное
распространение получил метод последовательной
коррекции с использованием звеньев, формируемых
из операционных усилителей, охваченных цепями
отрицательной обратной связи.
• Такое активное корректирующее устройство,
называемое регулятором, совмещает функции
управляющего и корректирующего звеньев и
позволяет создавать астатические или близкие к ним
системы.
• Для того, чтобы добиться желаемого качества
процесса управления или регулирования, т.е.
требуемой точности системы и качества переходного
процесса, есть два способа.
• Первый состоит в изменении параметров данной
системы, так как с изменением параметров
изменяются коэффициенты передачи, частотные
характеристики, а значит, и качество процесса.
• Если же изменением параметров не удается
получить необходимый результат или если такое
изменение существенно ухудшает, например
энергетические показатели ИП, то применяют второй
способ - изменение структуры системы введением
дополнительных звеньев - корректирующих
устройства (КУ).
• Основная задача корректирующих устройств состоит
в улучшении точности системы и качества
переходных процессов.
Рис. 4.3. Методы коррекции систем с обратной связью: Wис исходная система, Wич - ее изменяемая часть; Wку последовательное корректирующее устройство; Wос - звено
обратной связи.
• Корректирующие устройства могут быть последовательными с
введением дифференцирующих, интегрирующих и
упреждающих звеньев (рис. 4.3, б) и параллельными в виде
местных обратных связей различного типа (рис.4.3, в).
Рис. 4.4. Структурные схемы типовых регуляторов.
R2
C
R1
R
а) пропорциональный
регулятор
C
б) интегральный
регулятор
R2
C1
C2
R2
R1
в) пропорциональноинтегральный регулятор
R1
г) пропорциональнодифференциальный
регулятор
• - П – пропорциональный (рис. 4.4, а), с передаточной функцией ;
W  p  R2 R1
• - И – интегральный (рис. 4.4,б), с передаточной функцией ;
W  p   1 pRC  1 pT
• - ПИ – пропорционально-интегральный (рис. 4.4, в), с
передаточной функцией ;
W  p   R2 R1  1 pR1C  k  1 pT
• - ПИД - пропорционально-интегрально-дифференциальный,
(рис. 4.4, г) с передаточной функцией ,
W  p  k  pTд  1 pTи
где k 
T1  T2
TT
, Tд  1 2 , Tи  T3  R1C2 .
T3
T3
• Системы регулирования представляют собой сложные
нелинейные, нестационарные системы. Общего метода синтеза
таких систем не существует, поэтому в практике проектирования
систем автоматического управления (САУ) широко применяется
линейная теория, что объясняется рядом причин:
• • методы решения нелинейных задач требуют сложных
алгоритмов, а класс систем, для которых применимы эти
алгоритмы либо узок, либо не поддается точному определению;
• • многие процессы и объекты достаточно хорошо описываются
линейными моделями, или при малых отклонениях относительно
опорного режима возможна линеаризация;
• • линейное управление достаточно просто реализуется и часто
дает требуемые результаты – системе можно придать
достаточные запасы устойчивости и в известной мере
обеспечить ее «грубость» по отношению к нелинейностям;
• • для решения типовых задач управления можно применять
единообразный математический аппарат.
• Кроме того, линейные методы можно рассматривать как
предварительный шаг в итерационном процессе
проектирования, когда на следующем шаге система исследуется
уже с учетом нелинейностей.
• Процесс автоматизированного синтеза САУ
при использовании линейной теории
заключается в следующем:
• • составляется линеаризованная модель
системы;
• • осуществляется синтез структуры САУ и
законов управления;
• • проводится параметрический синтез
системы одним из линейных методов теории
автоматического управления;
• • проводится анализ и уточнение результатов
исследованием системы с учетом
нелинейностей.
• При проектировании САУ к ним предъявляют следующие
требования:
• • устойчивости, заключающееся в том, чтобы для вектора
выхода y(t) выполнялось условие lim  y(t )  yоп   0 при t  
• • управляемости, заключающееся в том, что должен
существовать входной вектор u(t), позволяющий перевести
вектор выхода из начального состояния y(t0) в конечное y(t1) за
заданное время (t1–t0);
• • наблюдаемости, означающее возможность по наблюдению
вектора выхода в течении интервала (t1–t0) найти начальное
состояние x(t0);
• • качества регулирования, согласно которому в переходном
процессе должно выполняться условие ymax –y(t1) <  y(t1), где
 – допустимая относительная динамическая ошибка
(перерегулирование);
• • помехоустойчивости, заключающееся в том, чтобы ошибка
воспроизведения заданного входного воздействия при наличии
помех не превышала заданной величины;
• • "грубости", заключающееся в обеспечении работоспособности
в условиях ограниченной неопределенности в задании
параметров.
• В этих условиях к схеме управления предъявляются
следующие функциональные требования:
– Заданная крутизна (коэффициент усиления) и линейность
модуляционной характеристики.
– Максимальный диапазон регулирования длительности
импульса (0 1).
– Предельная рабочая частота.
– Возможность внешней синхронизации.
– Помехозащищённость.
– Гальваническая развязка входа от выхода.
– "Мягкий" запуск.
– Многоконтурное управление:
• • параллельная работа модулей – (токораспределение);
• • ограничение тока нагрузки – (токостабилизация);
• • стабилизация напряжения.
– Дистанционное управление.
– Симметрирование импульсов при двухтактном выходе.
– Наличие защит (тепловых, по напряжению, току и т.д.).
• Автоматизированный синтез САУ проводится:
• По частотной характеристике разомкнутой системы с
обеспечением заданного расположения полюсов ее
передаточной функции.
• По переходной характеристике замкнутой системы с
обеспечением заданного качества переходного
процесса, учитывая, что для систем с одной
нелинейностью, обладающих свойством фильтра,
можно определять устойчивость как свойство
затухания переходных процессов.
• При этом граница устойчивости может быть
определена как граница области существования
периодических колебаний в системе.
• Считается, что запас по фазе больше 30 град., если
перерегулирование в затухающем переходном
процессе h < 30%.
• Нелинейная система с одной нелинейностью
обладает свойством фильтра, если величина
амплитудной характеристики линейной части
на частотах высших гармоник значительно
меньше, чем для первой (очевидно, что
сглаживающий фильтр обладает этим
свойством).
Порядок синтеза по частотной характеристике
• Подход основан на приведении дискретной (импульсной)
системы к непрерывной и ее линеаризации. Для решения задачи
используются известные из теории непрерывных линейных
систем критерии.
• Критерий Найквиста. Для устойчивости замкнутой САУ,
устойчивой в разомкнутом состоянии, т.е. имеющей только
отрицательные вещественные части корней
характеристического уравнения, необходимо и достаточно,
чтобы частотный годограф комплексного коэффициента
передачи разомкнутой системы при изменении ω от 0 до ∞ не
охватывал точку (–1; 0).
• Критерий Боде. Система, обладающая положительным запасом
по фазе в точке пересечения АФЧХ и окружности единичного
радиуса устойчива, а обладающая отрицательным запасом –
неустойчива.
• Или скорость изменения коэффициента усиления разомкнутой
системы по частоте должна быть равна 20 Дб/дек в достаточно
широком диапазоне частот (н, в) в окрестностях частоты с,
при которой комплексный коэффициент усиления равен единице
(н/с<0/5,  в /с >4).
Рис. 4.3. Частотный годограф комплексного
коэффициента передачи
IM
-1,0
RE
Рис. 4.4. ЛАЧХ разомкнутого контура
• Синтез управления импульсного стабилизатора напряжения
(ИСН). Схема управления ИСН включает: задающий генератор
синхроимпульсов (Uсинх), генератор пилообразного напряжения
(Г), источник опорного сигнала (Uоп), усилитель сигнала ошибки
(У), компаратор (К), формирователь импульсов управления
силовым транзистором (рис. 4.5).
• В системе регулирования при изменении сигнала ошибки
меняется выходное напряжение усилителя сигнала ошибки,
коэффициент заполнения управляющих импульсов и среднее
значение напряжения импульсов на выходе силового
транзистора, за счет чего и осуществляется широтноимпульсное регулирование выходного напряжения.
Е
VT1
Rб
Lф
VD
Rн
Сф
VT2
С1
К
ГПН
Uсинх
R1
С2
R2
У
R3
Uоп
• Рис. 4.5. Схема импульсного стабилизатора напряжения
• Линеаризуя систему, импульсный стабилизатор напряжения с
силовым каскадом, состоящим из транзисторных ключей,
трансформатора и выпрямителя (в схеме на рис. 4.5
отсутствуют), можно заменить непрерывным «трансформатором
постоянного тока», выходное напряжение которого
определяется напряжением источника питания Е,
коэффициентом трансформации трансформатора и
относительной длительностью импульса, подаваемого на
управляющий вход силового каскада с выхода ШИМмодулятора:
• U н  Kт р Е .
• Текущее («непрерывное») значение равно отношению
выходного напряжения усилителя сигнала ошибки к амплитуде
«пилы» АР:
• γ=UУ /АР,
где 0 ≤ γ ≤ 1.
• Такой подход возможен, если частота работы импульсного
элемента много больше собственной частоты непрерывной
части – фильтра, что всегда выполняется.
• Для синтеза управления в режиме частотного
анализа размыкается цепь обратной связи и на
её вход подаётся единичный сигнал,
изменяющийся в широком диапазоне частот.
• Изменяя структуру и параметры
корректирующих устройств, добиваются ЛАЧХ
или АЧХ, соответствующих приведенным выше
критериям Найквиста, Боде.
• Такой подход гарантирует для рассматриваемого
класса систем устойчивость в малом и требует
дополнительных испытаний на реальной модели
системы.
• Порядок синтеза по переходной характеристике
• При синтезе по переходной характеристике структура
и параметры корректирующих устройств меняются,
пока не будет обеспечено требуемое качество
переходного процесса.
• При таком подходе можно использовать
линеаризованную модель или нелинейную, без
упрощений, модель замкнутой системы.
• По характеру переходного процесса судят о запасах
устойчивости системы (типовыми являются
требования – перерегулирование не более 10%,
степень затухания
A
  ln
1
A2
 0,4
где
A1 , A2 - два соседних максимума).
• Так как импульсные системы являются нелинейными
системами, должна гарантироваться устойчивость
при наихудшем сочетании нагрузки и питания.
• Однозначных алгоритмов поиска такого сочетания
нет, поэтому надо проверять систему во всех
возможных динамических режимах.
• Алгоритм динамического синтеза ИСН. Для улучшения
устойчивости и динамических характеристик – уменьшения
динамической ошибки и времени переходного процесса, в схему
управления включаются корректирующие звенья, при этом
удается не изменять параметров силовых элементов ИСН,
рассчитываемых по критериям оптимальности силовой части.
• Одним из эффективных и часто применяемых средств
коррекции является введение производных в закон
регулирования.
• Введение производной в закон регулирования чаще всего
реализуется последовательным включением инерционнофорсирующего звена.
• Передаточная функция регулятора при этом имеет вид
W  p   Kос
•
1  pT2
,
1  pT1
а динамический синтез ИСН заключается в правильном
выборе коэффициентов Kос  R1 R2 (статический коэффициент
усиления), T2 и Т1 (постоянные времени форсирующего и
инерционного звеньев).
•
•
•
•
•
•
•
Статический коэффициент передачи регулятора в
схеме ИСН понижающего типа связан с общим
коэффициентом усиления разомкнутой системы
соотношением
K р  K ос E AP ,
где AP – амплитуда пилообразного напряжения.
Требуемое значение Кр вычисляется по заданной
статической точности ИСН
Kр>((Emax-Emin)/Emin)/((Uн.max-Uн.min)/Uн.ном.),
где Uн – выходное напряжение ИСН,
и не должно превышать предельного значения,
которое определяется по условию устойчивости
стационарного режима (недопустимости
возникновения автоколебаний):
Tф2
Kр  4 2 .
T
• Постоянная времени инерционного звена T1
принимается минимальной и выбирается по условию
обеспечения помехозащищенности.
• Наименьшее значение Т1 при отсутствии других
ограничений определяется максимальной полосой
пропускания замкнутого контура или частотой среза
разомкнутого контура, которую можно принять
равной собственной частоте фильтра.
• Тогда T < T1 < Tф / 2,
• где Т – период ШИМ,
Tф  LфСф
– постоянная времени непрерывной
части (фильтра).
• При увеличении Т1 в системе регулирования могут
возникнуть низкочастотные колебания.
• При заданном значении Kос постоянная времени
форсирующего звена выбирается по условию
обеспечения апериодического характера переходного
процесса при включении и не должна превышать
предельного значения, определяемого по условию
недопустимости возникновения
• автоколебаний на частоте отличной от частоты ШИМ
•
где
U н.ном
 
Еmin
2Tф2
T2  K ос
,
 TK р
– коэффициент заполнения
импульса при Emin.
• Уточнение параметров Т1 и Т2 при заданном
значении коэффициента усиления осуществляется
методом многовариантного анализа с направленным
перебором их значений в определенных диапазонах.
Схемотехническая модель ИСН
КОНСТРУКТОРСКО-ТЕХНОЛОГИЧЕСКОЕ
ПРОЕКТИРОВАНИЕ И ОБЕСПЕЧЕНИЕ
НАДЕЖНОСТИ АППАРАТУРЫ
КОНСТРУКТОРСКОЕ ПРОЕКТИРОВАНИЕ
На этапе конструкторско-технологического
проектирования (КТП) принципиальная электрическая схема
преобразуется в совокупность конструктивных узлов,
которые осуществляют её физическую реализацию.
При этом наработанные на предыдущих этапах виды
описаний дополняются документацией, соответствующей
этому этапу проектирования.
На этапе КТП рассматриваются геометрические размеры
ЭРИ, размеры проводников и расстояние между ними,
размеры сменных блоков, вид монтажа, способы теплоотвода
и т.д.
Конструктор должен обеспечить требования к
надёжности в заданных условиях эксплуатации за счёт
соответствующих тепловых режимов, виброустойчивости,
возможности ремонта и обслуживания, уложиться в
заданные массу и габариты.
В электронной технике распространён принцип
модульного построения аппаратуры.
Модули объединяются в соответствии с их
функциональной иерархией: элементы объединяются на
плате, платы объединяют в блок, блоки в устройства,
устройства в систему.
Системы автоматизированного конструкторского
проектирования предназначены в первую очередь
для проектирования двухслойных и многослойных
печатных плат, жгутов соединительных проводов,
корпусных деталей,
а также для выпуска соответствующей
конструкторской документации
и разработки программ изготовления фотошаблонов
печатных плат, сверления, контроля монтажа, тестового
контроля функционирования.
Типовыми задачами КТП являются:
1. Компоновка блоков.
2. Размещение компонентов в блоке.
3. Трассировка монтажных соединений.
Для решения этих задач, которые являются
оптимизационными, разработаны специальные алгоритмы КТП:
Конструктивные – проектные решения формируются за ряд
последовательных шагов. Выбирается один элемент схемы
рассматриваемого уровня, к нему по определённым правилам
присоединяется второй и т.д. Эти алгоритмы называются
последовательными. Существуют параллельные алгоритмы,
когда работа по нескольким группам элементов ведется
одновременно.
Итерационные – в отличие от конструктивных требуют
задания начального приближённого решения задачи, которое
затем улучшается. Пример итерационного алгоритма –
процедура парных перестановок, когда попарно меняются
местами все элементы конструкции с целью минимизации
критерия качества.
Задачи КТП имеют комбинаторный характер и потому
прямой перебор n! элементов не приемлем даже для
ЭВМ. Поэтому используют эвристические алгоритмы, а
выделение трёх этапов – компоновки, размещения и
трассировки позволяет снизить размерность общей
задачи.
Модели конструкций и элементов
Наиболее общей моделью КТП является монтажное
пространство (МП). Математическая модель МП
дискретна и представляет собой описание координатной
сетки с равномерным или неравномерным шагом.
Расстояния – длины соединений в МП задаются
метриками
d  (x  x )  (y  y ) – оценка длины по кратчайшему
расстоянию,
d  x  x  y  y – оценка длины ортогональных
соединений.
Полная информация о схеме формируется в виде графа, в
котором пронумерованы элементы и их выводы.
2
j
ij
ij
j
2 2
i
i
2
j
j
2 2
i
i
Алгоритмы и критерии компоновки
Задача компоновки – разбиение схемы на блоки
оптимальные в смысле реализуемости, технологичности,
надёжности, ремонтопригодности, стоимости и т.д.
Критерии компоновки:
• каждый блок содержит не более р-компонентов и
q-выводов;
• блоки выбираются из числа стандартных (задача
унификации);
• число типов блоков минимально (задача типизации);
• должны быть обеспечены доступность элементов и
удобство тестирования.
Алгоритмы и критерии размещения
После компоновки требуется осуществить для каждого
стандартного или уникального блока размещение элементов в
регулярном или нерегулярном МП.
Критерии размещения:
• минимальная суммарная длина соединительных
проводников;
• минимальная длина проводников, соединяющих
источник с наиболее удалённой нагрузкой;
• максимально близкое размещение элементов;
• минимальная суммарная площадь зон реализации всех
цепей.
В регулярном монтажном пространстве с числом
позиций m при наличии в схеме n компонентов (n<m) число
возможных размещений составляет
m!
N (m, n)  n !C n 
p
m (m  n)!
, и задача решается также с
использованием эвристических процедур.
Задача трассировки состоит в построении соединений между
выводами размещённых в МП элементов. Соединения могут быть
выполнены в виде жгутов из объемных проводников или печатных
проводников. Трасса формируется в виде множества связных
отрезков, соединяющих участки электрической цепи.
Критерии трассировки:
• минимальная суммарная длина трасс;
• минимальное число трасс с длиной больше предельной;
• минимальное число слоёв;
• минимальное число переходов между слоями;
• максимальная удалённость трасс соединений;
• число соединений (паек) к одному не превышает заданного;
• уровень помех в каждой трассе не превышает заданного.
В процессе трассировки необходимо учитывать, что граничная
частота Fгр, при которой паразитные параметры соединительных
кабелей и проводников печатной платы можно представить в виде
сосредоточенных параметров, составляет
Fгр=0,1C / L, где С=3 108 м/c, L – длина проводника, м.
Трассировка наиболее трудная задача. Даже при использовании
самых современных пакетов программ не разводится до 5%
соединений. Их приходится доразводить в ручном режиме.
ТЕХНОЛОГИЧЕСКОЕ ПРОЕКТИРОВАНИЕ
Автоматизированное проектирование технологического
процесса включает:
• разработку принципиальной схемы технологического
процесса;
• проектирование технологических маршрутов обработки
деталей;
• проектирование технологических операций;
• разработка управляющих программ для оборудования с ЧПУ.
В зависимости от особенностей изготовляемого объекта
технологические процессы разделяются на единичные, типовые (на
группу изделий) и групповые (на конструктивно и технологически
сходные изделия).
При проектировании технологических процессов исходными
данными являются рабочие чертежи деталей, технические условия
изготовления, годовая программа выпуска.
Проектирование технологических процессов начинается с
синтеза структуры процесса.
Исходный вариант структуры генерируется, а затем
оценивается с позиций реализуемости и производительности.
Для каждого варианта структуры разрабатывается модель
технологического процесса.
Анализом модели проверяется выполнение условий
работоспособности, например получения требуемой
производительности или точности обработки.
Если условия работоспособности не выполняются, то
изменяются управляемые параметры (оптимизация параметров),
например режимы обработки, или генерируется новый вариант
структуры.
В большинстве случаев проектирования технологических
процессов используются статические модели как аналитические,
так и имитационные.
В качестве критериев оптимальности используют
приведенные затраты, технологическую себестоимость, штучную
производительность, точность и др.
Наиболее типичными технологическими задачами
являются:
• нахождение наилучшей последовательности
выполнения технологических переходов;
• определение оптимального маршрута обработки
детали с оптимизацией параметров обработки.
На основе решения этих задач реализуются
автоматизированные системы проектирования
технологических процессов, системы автоматизации
заготовительного производства и системы оценки
технологичности конструкций изделий.
Результаты технологического проектирования
оформляются в виде необходимой технологической
документации, к которой относятся: технологические
чертежи, маршрутные карты, ведомость технологического
маршрута, ведомость удельных норм расхода материалов,
программы для станков с ЧПУ.
КОНСТРУКТОРСКО-ТЕХНОЛОГИЧЕСКИЕ САПР
По масштабам различают отдельные программнометодические комплексы таких САПР, например, комплекс
анализа прочности механических изделий, комплекс
машинной графики и геометрического моделирования и
комплексные (интегрированные) САПР, состоящие из
совокупности подсистем CAE/CAD/CAM.
Функции CAD-систем в машиностроении подразделяют
на функции двухмерного (2D) и трехмерного (3D)
проектирования.
К функциям 2D относятся черчение, оформление
конструкторской документации;
К функциям 3D – получение трехмерных моделей,
метрические расчеты, реалистичная визуализация
(электронный макет конструкции – 3D – модель с элементами
анимации, позволяющая полностью или частично оценить
взаимодействие элементов), взаимное преобразование 2D и
3D моделей.
Среди CAD-систем различают "легкие" и "тяжелые"
системы.
Первые из них ориентированы преимущественно на
2D графику, сравнительно дешевы и менее требовательны
в отношении вычислительных ресурсов.
Вторые ориентированы на геометрическое
моделирование (3D), более универсальны, дороги,
оформление чертежной документации в них обычно
осуществляется с помощью предварительной разработки
трехмерных геометрических моделей.
Наиболее известны следующие CAE/CAD/CAMсистемы: Unigraphics, CATIA, EUCLID, AutoCAD, АДЕМ,
Компас, T-FlexCAD и др.
Основные функции CAM-систем:
-разработка технологических процессов,
-синтез управляющих программ для
технологического оборудования с числовым
программным управлением (ЧПУ),
-моделирование процессов обработки, в том
числе построение траекторий относительного
движения инструмента и заготовки в процессе
обработки,
-генерация постпроцессоров для конкретных
типов оборудования с ЧПУ, расчет норм времени
обработки.
Функции CAЕ-систем связаны с проектными
процедурами анализа, моделирования, оптимизации
проектных решений.
В состав конструкторско-технологических CAE-систем
прежде всего включают программы для следующих
процедур:
• моделирование полей физических величин, в том
числе анализ прочности, который чаще всего выполняется в
соответствии с МКЭ;
• расчет состояний и переходных процессов на
макроуровне;
• имитационное моделирование сложных
производственных систем на основе моделей массового
обслуживания и сетей Петри.
Примеры систем моделирования полей физических
величин в соответствии с МКЭ: Nastrаn, Ansys, Cosmos, Nisa,
Moldflow. Примеры систем моделирования динамических
процессов на макроуровне: Adams и Dyna.
Важное значение для обеспечения открытости САПР, ее
интегрируемости с другими автоматизированными системами
имеют интерфейсы, представляемые реализованными в
системе форматами межпрограммных обменов.
Для межпрограммных обменов используются форматы
IGES, DXF, DWG, Express (стандарт ISO 10303–11) и др.
Комплексы геометрического моделирования. Мировыми
лидерами в этой области программного обеспечения САПР считаются
Pro/Engineer, Unigraphics, EUCLID, CATIA и ряд других. По своим
функциональным возможностям эти комплексы приблизительно
равноценны. Комплекс насчитывает несколько десятков программ
(модулей) конструкторского проектирования механических объектов,
промышленного дизайна, функционального моделирования,
технологического проектирования, обмена данными.
Базовые модули конструкторского проектирования
предназначены для твердотельного и поверхностного моделирования,
синтеза конструкций из базовых элементов формы, поддерживают
параметризацию и ассоциативность, проекционное черчение,
выполняют разработку чертежей с простановкой размеров и допусков.
Модули функционального моделирования используются как
препроцессоры и постпроцессоры для программ конечно-элементного
анализа (нанесение сетки конечных элементов, визуализация
результатов анализа), для анализа теплового состояния конструкций,
для оценки виброустойчивости и др.
Основные модули технологического проектирования служат для
моделирования технологических процессов фрезерной, токарной,
электроэрозионной обработки и для разработки постпроцессоров для
систем управления оборудованием с ЧПУ.
АВТОМАТИЗИРОВАННАЯ СИСТЕМА ОБЕСПЕЧЕНИЯ
НАДЕЖНОСТИ
Основными техническими методами создания электронной
аппаратуры с высокой надежностью и большим ресурсом являются:
• применение в схеме элементов в облегченных режимах
нагрузки;
• защита элементов от вредных воздействий окружающей среды
(температура, механические воздействия, влажность и т.д.);
• схемотехнические меры по защите от аварийных воздействий
(защиты по току, напряжению, температуре и т.д.);
• входной контроль комплектующих изделий;
• резервирование по результатам анализа надежности, в том
числе на схемном уровне (компоненты), на структурном уровне
(блоки), на системном уровне (реконфигурация системы при отказах
блоков);
• граничные испытания;
• тренировка аппаратуры в течение так называемого времени
приработки, когда выявляются конструктивные отказы.
Виды испытаний электронных устройств
1.Контроль качества в процессе производства:
• контроль деталей и узлов собственного изготовления,
• контроль правильности сборки (контроль схемы внешних
соединений и проверка сопротивления изоляции разобщенных
цепей),
• настройка прибора и контроль функционирования и
резерва,
• контроль стыковки.
2.Приемочные испытания ОТК.
3. Предварительные (конструкторские), периодические и
типовые испытания на соответствие прибора требованиям ТЗ и ТУ.
4.Дополнительно проводятся различные виды отработочных
испытаний (ЛОИ – лабораторные, СОИ – стыковочные
отработочные испытания), исследовательские испытания.
Нормы и допуски на контролируемый параметр на этапах
испытаний
ИН- инструкция по настройке, ПЗ- заказчик, ПИ- предварительные
испытания, ТУ- норма на номинал и допустимое отклонение
Анализ надежности разрабатываемой аппаратуры
является важной проектной процедурой, гарантирующей
правильность выбора структуры схемы, элементной базы и
режимов работы элементов, блоков и системы в целом.
Расчет вероятности безотказной работы прибора
производится в несколько этапов.
Вначале рассчитываются характеристики надежности
блоков по известным характеристикам ЭРИ и узлов, затем –
характеристики прибора по рассчитанным характеристикам
блоков.
Характеристиками надежности ЭРИ являются
интенсивности различных типов отказов при работе или при
хранении.
Например, транзистор характеризуется тремя видами
отказов: обрыв, короткое замыкание, потеря управления.
Файлы, содержащие эту информацию
формируются на каждый блок прибора.
Входными данными являются измеренные в
схеме электрические и температурные режимы
работы ЭРИ,
а также нормативные режимы, хранящиеся в базе
данных для всех элементов, разбитых на группы:
◦ кварцевые
резонаторы, пьезоэлектрические и
электромеханические фильтры и линии задержки;
◦ конденсаторы и конденсаторные сборки;
◦ микросхемы (функциональные узлы);
◦ микросхемы (усилители);
◦ микросхемы (линейные интегральные стабилизаторы
напряжения);
◦ предохранители и держатели предохранителей;
◦ электронно-лучевые трубки с электростатическим и
электромагнитным отклонением луча;
◦ электромагнитные реле и контакторы, магнитоуправляемые
контакты и реле с вакуумными и магнитоуправляемыми
контактами;
◦ дроссели фильтров;
◦ резисторы и резисторные сборки;
◦ микровыключатели и микропереключатели, кнопки, кнопочные
переключатели, поворотные и пакетные переключатели;
◦ трансформаторы импульсные;
◦ трансформаторы силовые;
◦ диоды выпрямительные, импульсные, универсальные,
варикапы и диодные сборки;
◦ полупроводниковые стабилитроны и стабисторы;
◦ полупроводниковые излучающие диоды;
◦ оптопары;
◦ туннельные и обращенные диоды;
◦ полупроводниковые СВЧ диоды;
◦ транзисторы и транзисторные сборки;
◦ полевые транзисторы и транзисторные сборки;
◦ ВЧ и СВЧ транзисторы;
◦ транзисторы однопереходные;
◦ тиристоры;
◦ электрические соединители, провода и кабели;
◦ электронно-оптические преобразователи;
◦ прочие ЭРИ.
Каждый вид ЭРИ характеризуется определенным
набором параметров, нормативные и фактические значения
которых используются для анализа режимов работы ЭРИ и
определения расчетных значений интенсивностей отказов
= 0(1++),
где 0 – табличное значение при нормальных условиях,
,  – коэффициенты, учитывающие влияние режимов
(коэффициент нагрузки) и температуры.
Основой расчета вероятности безотказной работы блока
или прибора является его структурная схема надежности
(ССН), которая формируется в системе с помощью БД
элементарных ССН, описывающих типовые схемы
включения и резервирования элементов.
Полученный таким образом файл схемы преобразуется
в файл описания ССН. Этот файл используется расчета
надежности данного блока.
Структурная схема надежности
нерезервированного ЭУ
отказ любого элемента (1,2…n) приводит к выходу ЭУ из строя
Основные расчетные соотношения для расчета
надежности:
P(t )  exp(t ) – вероятность безотказной работы,
T  1/  – среднее время безотказной работы,
r1
   Ni  i
– суммарная интенсивность отказов для блока,
состоящего из r1 элементов. Здесь Ni – количество
элементов с одинаковой i – интенсивностью отказов.
Расчет надежности прибора производится на основе
полученных в результате расчета надежности блоков
данных. Расчет может проводиться для различных
видов резервирования блоков:
• ненагруженный (“холодный”) резерв;
• нагруженный (“горячий”) резерв;
• облегченный (“теплый”) резерв;
• нагруженный резерв с переключением;
• ненагруженный резерв с переключением.
i 1
Например, при параллельной работе m + 1 блоков на общую
нагрузку (при отказе одного нагрузка перераспределяется на m
оставшихся) среднее время безотказной работы определяется по
формуле
Tc 
1
1 1
1
(1    ... 
)
б
2 3
m 1 ,
где б – расчетная интенсивность отказов блока.
В результате описанных проектных процедур выпускаются
следующие проектные документы:
• карты условий эксплуатации – таблицы с определенным
для каждого вида ЭРИ набором параметров, нормативные
(допустимые) и фактические значения которых используются для
анализа условий эксплуатации ЭРИ;
• карты электрических режимов – таблицы с определенным
для каждого вида ЭРИ набором параметров, нормативные
(допустимые) и фактические значения которых используются для
анализа режимов работы ЭРИ;
• таблицы интенсивностей отказов для измеренных и
нормативных режимов и условий эксплуатации;
• отчет по надежности.
В структуре автоматизированной системы обеспечения
надежности (АСОН) важное место занимает информационнопоисковая система выбора ЭРИ по одному или нескольким
параметрам.
Эта система строится на основе использования базы
данных нормативных режимов работы и эксплуатации
элементов, приведенных в ТУ.
Параметрами информационного поиска ЭРИ в
автоматизированной информационно-поисковой системе
являются:
• параметры условий эксплуатации (температура,
давление, влажность, механические воздействия, минимальная
наработка на отказ в зависимости от коэффициента нагрузки и
температуры);
• параметры, определяющие наличие в ограничительных
перечнях по применению ЭРИ в данном классе аппаратуры;
• классификация ЭРИ и перечень электрических
параметров ЭРИ каждого класса, необходимых для выбора
ЭРИ.
ПРОЕКТНЫЙ АНАЛИЗ ЭЛЕКТРОМАГНИТНОЙ
СОВМЕСТИМОСТИ ЭЛЕКТРОННЫХ УСТРОЙСТВ
Проблема обеспечения электромагнитной совместимости
должна учитываться на каждом этапе разработки и изготовления
ЭУ: системотехническом, конструкторско-технологическом и
производственном, а также на этапе эксплуатации. В процессе
анализа электромагнитной совместимости электронное устройство
представляется набором портов.
Порты электрического питания
переменного тока
Порт корпуса
Электронное
устройство
Порты электрического питания
постоянного тока
Порты ввода-вывода
сигналов
Порты заземления
Рис. 5.1. Порты электронного устройства
Порт – это граница между электронным устройством и
внешней электромагнитной средой (зажим, разъем, клемма, стык и
т.д.).
Порт корпуса – физическая граница электронного
устройства, через которую могут излучаться электромагнитные
поля или проникать внешние электромагнитные поля.
Порты электропитания могут быть входными и
выходными.
Порты ввода вывода передачи данных, управления и др.
Для каждого порта стандарты представляют таблицу, в
которую включены:
• электромагнитные возмущения, подлежащие
рассмотрению;
• предписанные нормы помехоэмиссии или уровня
испытаний на помехоустойчивость;
• критерии качества функционирования (ЭУ должно
функционировать в соответствии с назначением в течение
испытания и после него, после испытания, допускается временное
отсутствие функционирования во время и после испытания);
• рекомендации по применению.
Через порты электропитания циркулируют
кондуктивные помехи. Это помехи, замыкающиеся по
проводам.
Помехи излучаются также в окружающее
пространство.
По силовым портам могут замыкаться косвенные
кондуктивные помехи (рис. 5.2).
При косвенном влиянии источника помех на
рецептор непосредственная передача электромагнитной
энергии рецептору отсутствует.
Воздействие помехи в этом случае происходит из-за
изменения параметров среды, параметров элементов
устройств или режимов работы под воздействием
источника помех.
Примером косвенной помехи может быть взаимное
воздействие различных каналов энергопотребления по общей
шине питания: при включении мощной нагрузки вследствие
изменения режима энергопотребления изменяются условия работы
различных электронных устройств из-за возникающих при этом
переходных процессов (воздействия различных каналов
энергопотребления друг на друга возникают за счет Zin).
Рис. 5.2. Схема возникновения косвенных помех
Методы управления внутрисистемными ЭМП имеют
следующие направления:
•
цепи и компоненты (симметрирование и уменьшение
площадей электрических контуров, выбор элементов с
минимальным собственным шумом);
•
фильтрация (установка помехоподавляющих
фильтров);
•
экранирование (скрутка);
•
монтаж (рациональное размещение элементов и
узлов, заделка разъемов);
•
заземление;
•
применение двухтактных схем с ZCS (zero current
switching), ZVS (zero voltage switching).
Излучаемые электромагнитные помехи ослабляются
экранами и корпусом прибора.
Электростатические экраны эффективно ослабляют
электрическую составляющую полей источников помех. В
этом случае силовые линии этой составляющей
замыкаются на поверхность экрана и наведенные заряды
отводятся в «землю».
Чем меньше переходное сопротивление между
экраном и корпусом прибора или «землей», тем выше
эффективность электростатического экранирования.
Но экранирующий эффект, наибольший на
постоянном токе, с ростом частоты уменьшается.
Для таких экранов используется медь, сталь,
алюминий и другие металлы с высокой
электропроводностью.
Магнитостатическое экранирование основано на
замыкании силовых линий магнитного поля в экране, если
он выполнен из материала с повышенной
магнитопроводностью.
Магнитный поток в этом случае замыкается через
экран вследствие его малого магнитного сопротивления и
лишь частично проникает в экранированное пространство.
Для магнитостатического экрана применяют никель,
пермаллой и другие металлы, обладающие повышенной
магнитной проницаемостью.
Эффективность такого экрана тем больше, чем
больше его магнитная проницаемость и толщина экрана.
Магнитостатические экраны эффективны лишь при
постоянном токе и в диапазоне низких частот (до 10 кГц).
С увеличением частоты возрастают вихревые токи в экране,
происходит вытеснение магнитного поля из толщи экрана. В области
высоких частот экран переходит из магнитостатического в
электромагнитный режим, действующий по принципу возникновения
вихревых токов в материале экрана.
В упрощенном виде действие электромагнитных экранов по
отношению к полям помех может быть представлено как многократное
отражение электромагнитных волн от поверхности экрана и затухание
высокочастотной энергии в толще экрана, вызванное тепловыми потерями
на вихревые токи в металле и противодействием полей, наводимых этими
токами.
Отражение электромагнитной энергии обусловлено
несоответствием волновых характеристик материала экрана и среды, в
которой он расположен.
Чем больше это несоответствие, тем выше экранирующий эффект,
определяемый отражением электромагнитных волн.
Электромагнитное экранирование может осуществляться с
помощью магнитных и немагнитных материалов.
Экранирование является конструкторским средством ослабления
ЭМП в пределах определенного пространства и предназначено для
повышения помехозащищенности и обеспечения ЭМС как отдельных
элементов, так и ЭУ в целом.
Фильтры бывают сетевые и сигнальные.
Сетевые надо отличать от силовых,
предназначенных для подавления гармоник в сети
переменного тока и сглаживания пульсаций в сети
постоянного тока.
Схемы сетевых фильтров
Системы земель. Общим термином “земля” обозначают различные
по назначению и физическому использованию системы проводящих
поверхностей и электрических соединений. Их можно функционально
подразделить на четыре основные группы.
Первая группа – проводящие системы, по отношению к которым
производится отсчет напряжений сигналов и (или) электропитания, при
этом потенциал самой системы принимается равным нулю. Примером
может служить так называемая “сигнальная земля” в аналоговых
измерительных системах. Такие системы земель условно называются
базовыми.
Вторая группа соединений предназначена для образования путей
протекания обратных сигнальных и питающих токов. Примером могут
служить так называемая “общая шина” вторичного питания, “нейтраль”
или “нулевой провод” первичного питания и т.п. Такие системы земель
условно называются возвратными.
Третья группа – поверхностей и соединений служит для
экранирования устройств и их частей, восприимчивых к помехам или
излучающих помехи. Такие системы земель условно называются
экранирующими.
Четвертая группа соединений предназначена для исключения
возможности поражения обслуживающего персонала электрическим
током. Такие системы соединений принято называть защитными
Перечисленные системы земель редко удается
выполнить совершенно обособленными. Обычно совмещены
базовая и возвратная система земель в цепях первичного и
вторичного питания, а также экранирующая и защитная
системы. Классификация систем приведена на рис. 5.3.
Совмещение систем обычно влечет за собой ухудшение
свойств устройств, обусловливающих обеспечение их
электромагнитной совместимости.
Так, например, основное требование к любой базовой
системе земель – это эквипотенциальность всех точек
системы. Но, если система также и возвратная, то по ней
протекают постоянные и импульсные токи.
Вследствие падения напряжения этих токов на
активных и индуктивных сопротивлениях системы
эквипотенциальность нарушается, что является одной из
основных причин снижения помехозащищенности
устройств.
Система земель
Рабочее
Базовое
Защитное
Возвратное
Экранирующее
Возвратно-базовое
информационных сигналов
Возвратно-базовое
первичного питания
Возвратно-базовое
вторичного питания
Рис. 5.3. Системы земель
Аварийное
Защитноэкранирующее
• Уменьшить восприимчивость частей устройства к
неэквипотенциальности системы земель можно за счет:
• снижения активного и индуктивного сопротивлений
частей системы;
• продуманного выполнения топологии системы;
• уменьшения амплитуд и крутизны фронтов обратных
токов, применения гальванических развязок.
Процедура анализа ЭМС. Наиболее эффективным
средством исследования электромагнитной
совместимости является имитационное
моделирование, позволяющее получить амплитудночастотные спектры нормируемых характеристик ЭМС в
заданном диапазоне частот.
При анализе ЭМС схема исследуемого устройства
дополняется элементами, учитывающими паразитные
параметры реальных компонентов схемы и
конструкции, гальванические, индуктивные и емкостные
связи.
Поэтому важным этапом проектного анализа ЭМС
является оценка паразитных параметров элементов и
конструкции ЭУ и составление высокочастотной модели
ИП.
В высокочастотных схемах замещения ЭУ (рис. 5.4)
паразитные параметры элементов, сети и нагрузки включают:
rc, Lc – активное сопротивление и индуктивность
конструкции и выводов конденсаторов;
rL, CL – активное сопротивление и емкость обмотки
дросселя;
C – межобмоточную емкость трансформатора;
rn, Ln – активное сопротивление и индуктивность линии
питания;
Rэ.с. – внутреннее сопротивление источника питания на
высокой частоте;
rз, – активное сопротивление заземления;
Lн, Сн – индуктивность и емкость нагрузки;
Ск.Т., Ск.Д. – паразитную емкость между корпусами
транзисторов, диодов и конструкции;
СМ1, СМ2 – емкостные связи соединительных кабелей и
конструкции.
При необходимости учитываются и индуктивные связи.
Рис. 5.4. Высокочастотная схема замещения ППН
• Значения паразитных параметров зависят от
параметров питающей сети, типов используемых
в силовой цепи полупроводниковых приборов,
накопительных элементов (дросселей,
конденсаторов), их конструкции, взаимного
расположения, а также от конструкции и
расположения токоведущих шин.
• Они могут быть рассчитаны или определены
экспериментально на физических моделях
элементов преобразователя.
Моделирование электромагнитного поля.
На частотах менее 100 MHz помехи в большинстве
случаев определяются ближней зоной излучения: при
расстоянии между источником и рецептором до 5 км на
частоте 10 кГц, до 500 м на частоте 100 кГц, до 50 м на
частоте 1 МГц, до 5 м на частоте 10 МГц, до 0,5 м на
частоте 100 МГц.
В связи с этим можно заключить, что основные
конструкторско-технологические решения должны
базироваться на характеристиках поля ближней зоны
распространения помех.
В ближней зоне поле Е является высокоомным, а
поле Н низкоомным. Электрическое поле ближней зоны
влияет на рецептор через паразитную емкостную связь с
источником помех, а магнитное поле – через паразитную
индуктивную связь.
При моделировании электромагнитного поля используются
уравнения Максвелла. Для небольшого контура площадью S,
находящегося в диэлектрической среде с магнитной проницаемостью  и
диэлектрической проницаемостью , по которому течет синусоидальный
ток I с частотой
2 C

 
где С – скорость света в вакууме, λ – длина электромагнитной
волны, напряженность электрического поля E, и магнитного поля Н, в
точке со сферическими координатами (r, φ, ), учитывая, что в ближней
зоне (r < λ / 2π) напряженность электрического поля максимальна при
  900 , а магнитного поля при   00 1800, рассчитывается следующим
образом: Er  0 E  0   0;   0;
12
 

  0  
0
E  

12
IS
2 r


1   2 r


2
 0


 0 


2
IS
IS
H 
1 

2 r
r
2 r 3
 r2
2 r 2
IS
Реальный ток элементов в контурах имеет явно
выраженный несинусоидальный характер, а для анализа
ЭМС необходимы его амплитудно-частотные спектры, а
также спектры напряженности электрического и магнитного
поля.
Для построения спектра можно воспользоваться
стандартными процедурами разложения функции в ряд
Фурье, имеющимися, в том числе и в программе probe пакета
pspice.
В соответствии с теоремой Котельникова, функция с
ограниченным спектром полностью определяется своими
значениями, отсчитанными через интервалы t  1
,
где F – ширина спектра функции.
2F
Поэтому наибольший шаг расчета вычисляется по
формуле:
h 1
2F
Оценка эффективности экранирования. Излучаемые
электромагнитные помехи ослабляются специальными
экранами и корпусом прибора.
Для получения окончательного результата
необходимо рассчитанные значения Е и Н уменьшить на
соответствующее ослабление этих полей экранами или
Lосл 
корпусом, которое вычисляем следующим
образом:
Lосл=20lgЭ, где Э – эффективность экранирования.
Основные источники излучаемых электромагнитных
помех в ЭУ – дроссели и трансформаторы, токовые
контуры ключевых элементов.
Программа проектного анализа ЭМС
1. Моделирование уровня излучаемых ЭМП. Проводится в
заданных электрических режимах. После расчета схемы с шагом h
производится спектральный анализ по уровню напряженности
излучаемых помех электрического поля Е и магнитного поля Н.
2. Моделирование токовых кондуктивных помех и пульсации
напряжения на шинах ЭУ. Проводится при заданном токе
нагрузки. После расчета схемы с шагом h производится
спектральный анализ по токовым кондуктивным помехам и
пульсациям.
3. Моделирование косвенных помех по выходной шине
устройства (расчет импеданса). Проводится при заданном токе
нагрузки и амплитуде синусоидального тока помехи по цепи
нагрузки в заданном диапазоне частот. Контролируется
напряжение на выходной шине.
4. Моделирование на восприимчивость к внешнему излучению.
Контролируется напряжение помехи.
5. Проектная процедура анализа ЭМС представлена блок-схемой
рис. 5.5.
Рис. 5.5. Блок-схема процедуры проектного анализа ЭМС
На рис. 5.6 – 5.11 приведены результаты исследования схемы
(рис. 5.4) в соответствии с программой проектного анализа ЭМС.
Рис. 5.6. Токи, формирующие помехи, излучаемые при работе схемы:
а – ток дросселя; б – суммарный ток транзисторов
Рис. 5.7. Частотный спектр помех по напряженности
электрического поля Е при худшем сочетании излучений токовых
контуров на расстоянии 1 м от поверхности блока
Рис. 5.8. Частотный спектр помех по напряженности магнитного поля Н при
худшем сочетании излучений токовых контуров на расстоянии 1 м от поверхности
блока
Рис. 5.9. Ток выходной шины
Частотный спектр токовых кондуктивных помех по выходной шине приведен
на рис. 5.10.
Рис. 5.10. Частотный спектр токовых кондуктивных помех
Косвенная кондуктивная помеха по цепи нагрузки или питания
моделируется включением в цепь нагрузки генератора тока помех с
заданной амплитудой и частотой колебаний, изменяющейся в заданном
темпе и диапазоне.
Зависимость пульсаций напряжения на выходной шине от частоты
тока косвенной кондуктивной помехи по цепи нагрузки показана на
рис. 5.11.
Рис. 5.11. Зависимость напряжения на общей шине от частоты тока
косвенной кондуктивной помехи
СИСТЕМА УПРАВЛЕНИЯ ИНЖЕНЕРНЫМИ
ДАННЫМИ И ЖИЗНЕННЫМ ЦИКЛОМ ИЗДЕЛИЯ
Система управления жизненным циклом продукции (PLM)
является центральным компонентом программного
комплекса САПР, своеобразным «мозговым центром».
Она обеспечивает:
• хранение технической документации на изделие;
• управление этой документацией;
• управление информацией о структуре, вариантах
конфигурации изделий и входимости компонентов в
различные изделия;
• управление процессом разработки изделия.
Система PLM содержит всю информацию,
необходимую для проектирования, изготовления и
эксплуатации продукции приборостроительного
предприятия.
На этапе подготовки производства она
обеспечивает накопление данных о результатах
конструкторско-технологического проектирования и
обмен информацией между инженерными
службами.
Одна из важных функций системы PLM – ведение
электронного архива.
После завершения этапа проектирования
конструкторско-технологическая документация на изделие
сдается в архив, а изделие переходит в состояние «В
производстве».
Документы и объекты переводятся в состояние
«Архивный» и становятся недоступными для изменений.
С каждым документом в архиве могут быть
сопоставлены файлы оригиналы, созданные при помощи
различных программ – AutoCAD, Microsoft Office и т.д., а
также сканированные копии подлинников.
Информация о составе изделия, которая хранится в
базе данных, отображается в клиентском приложении в
виде дерева.
Конструкторский состав изделия включает
сборочные единицы, детали и стандартные изделия.
При формировании состава изделия система
помогает пользователю соблюдать единообразное типовое
обозначение входящих в него деталей и сборочных
единиц.
В системе PLM существует модуль отчетов, с
помощью которого можно формировать, например,
конструкторскую спецификацию, ведомость покупных
изделий.
.
Наличие в системе PLM модуля WorkFlow дает
возможность автоматизировать документооборот, а
также описывать процессы в инженерных службах
предприятия и управлять ими.
Чтобы взять документ на редактирование,
необходимо получить разрешение на изменение.
Такое разрешение соответствующим образом
регистрируется и согласовывается с отвечающими за это
специалистами.
Результат каждого изменения состава изделия –
создание его новой версии, наделенной свойствами,
привнесенными в ходе изменения.
Предыдущая версия сохраняется в базе данных.
Таким образом, фиксируется история создания данного
изделия и его модернизации.
При необходимости можно просмотреть каждую
версию изделия, сборочной единицы или детали и
получить информацию не только о содержании, но и о
причинах, а также о дате каждого внесенного изменения.
Встроенный модуль аннотирования позволяет
проверять получаемую документацию и вносить в нее
замечания без открытия соответствующего приложения, в
котором создан документ.
Таким образом, система PLM имеет следующие
функциональные возможности:
• учет как конструкторско-технологической, так и
организационно-распорядительной документации в
рамках единого интерфейса;
• поддержка функционала электронно-цифровой
подписи.
Система PLM позволяет создать единое информационное
пространство на предприятии и обеспечивает:
• надежное хранение и быстрый поиск документов;
• возможность получать актуальную информацию о статусе
проекта (состоянии разработки, загрузке и активности сотрудников), не
покидая рабочего места;
• полный контроль над данными в течение всего времени работы и
оперативность управлением проектом;
• планирование загрузки сотрудников работой;
• автоматизацию типовых процедур (параллельная работа над
проектом, проведение изменений, построение различных отчетов по
проекту, списка ведущихся работ со сроками их исполнения и т.п.);
• «прозрачность» предприятия для руководства;
• упрощение сертификации по ГОСТ Р ИСО 9001;
• гарантию надежности и секретности хранения информации;
• возможность контроля за сроками исполнения работ;
• удобный поиск документов и проектов;
• экономия времени за счет предотвращения дублирования
документов и проектов;
• сокращение рутинных операций.
ОБЕСПЕЧЕНИЕ КАЧЕСТВА НА ЭТАПЕ
ПРОЕКТИРОВАНИЯ
Ошибки, допущенные на стадии проектирования,
трудно исправить, а само исправление вызывает большие
затраты, поэтому необходимость стандартизации на данном
этапе создания продукции очевидна.
Обеспечение качества на этапе разработки и
проектирования – это контроль за выполнением тех работ,
последовательность которых может быть описана в
процедурах (стандартах предприятия), за которые можно
приписать ответственность и в процессе которых может
вестись регистрация данных, что и делает эти работы
контролируемыми.
Каждый новый проект обычно содержит
зарекомендовавшие себя наработки из прежних проектов,
применение которых также поддается контролю.
Процесс проектирования можно рассматривать как
трансформацию требований заказчика в рабочие документы для
производства.
В общих чертах такой процесс можно схематично
представить рис. 5.12.
Из приведенной схемы наглядно видны фазы, поддающиеся
стандартизации.
Не подлежит ей такая творческая работа, как создание
концепции изделия. Все остальные шаги могут быть
охвачены системой управления качеством и
проконтролированы.
Основными работами по обеспечению
качества на стадии проектирования являются:
• анализ требований контракта;
• контроль за подготовкой, распространением,
хранением и изъятием документации;
• обеспечение взаимодействия участников
процесса проектирования и совместимости
составных частей проекта;
• проверка и подтверждение проекта;
• рассмотрение готового проекта;
• контроль за изменениями проекта.
Анализ контракта должны проводить руководители контракта,
ведущие специалисты и представители подразделения качества. Они
должны четко определить объем работы, убедиться, что все
заинтересованные стороны понимают свои задачи и что предусмотрены
необходимые проверки и время их проведения.
Следует также убедиться, что применимые к данному контракту
стандарты и технические условия имеются в наличии в тех
подразделениях, где они нужны, и соответствуют последней дате
выпуска.
Тогда же следует определить порядок отчетности и довести его до
исполнителей.
Совещания по анализу контракта должны протоколироваться.
Протоколы удобно вести в стандартной форме, например такой, как на
рис. 5.13.
Контроль документации. Проектная документация
включает различные типы документов: чертежи, расчеты,
технические условия, инструкции и т.д.
Они должны представляться в правильной
унифицированной форме. Это помогает избежать ошибок
и облегчает контроль, позволяя использовать стандартные
методики и формализованные процедуры проверок и
утверждения документов, их хранения, передачи, отмены
и изъятия.
Проверка и утверждение изменений к документам
исполняются в соответствии с теми же процедурами, что
применяются для самих документов.
Обеспечение взаимодействия участников и совместимости
составных частей проекта, проверка и подтверждение проекта.
По мере продвижения проекта его содержание и точность данных
регулярно проверяются с тем, чтобы удостовериться в отсутствии
ошибок. Проверки могут быть как внутри, так и между
подразделениями.
При внутренних проверках оценивается совместимость
документов, представленных различными подразделениями и
устанавливается круг лиц, которым направляются документы на
согласование, если будут иметь место разногласия.
Процесс межотраслевых проверок представляет собой по
существу контроль за техническими интерфейсами.
Помимо технических, существуют организационные
интерфейсы. В больших проектах часто заняты не только несколько
отделов, но и несколько разных организаций-субподрядчиков.
Здесь задача состоит в том, чтобы все участники
проектирования исповедовали одну и ту же философию, иными
словами, говорили на одном языке.
Поэтому должны быть четко оговорены области
взаимодействия и методы работы в этих областях.
Проект по мере его развития от оригинальной концепции до
рабочей документации для производства рассматривается не один
раз, в том числе обязательно в начале, когда концепция принята, и
в конце, когда проект готов.
Промежуточные рассмотрения предусматриваются заранее.
Их необходимость связана с трудностью и дороговизной внесения
изменений на более поздних стадиях.
К рассмотрениям привлекаются люди, непосредственно не
связанные с проектированием. Это объясняется тем, что в
процессе рассмотрения проект оценивается с точки зрения
соблюдения требований контракта, использования результатов
проведенных исследований и пригодности для тех, кто будет
применять проект.
Перечень работ по контролю за проектом и действия по
обеспечению качества приведены в табл. 5.2.
Помимо собственно контроля за проектом на стадии
разработки и проектирования продукции, должны
реализовываться требования к прослеживаемости,
надежности, ремонтопригодности и некоторые другие.
Эти требования, как правило, задаются в контракте или
формулируются при его анализе, а их выполнение
контролируется.
Когда говорят о прослеживаемости (истории появления
на предприятии), имеют в виду:
а) прослеживаемость материалов, компонентов и
оборудования, в том числе дефектных;
б) прослеживаемость данных и информации,
необходимых для подготовки наиболее рациональных
процедур технического обслуживания;
в) прослеживаемость данных и информации,
необходимых для будущих модификаций и улучшений
проекта.
Действия по обеспечению качества в данном случае –
проверять, что требования к прослеживаемости установлены
и нужные действия для их реализации предпринимаются.
Требования по надежности и ремонтопригодности
очень важны для сложных современных изделий техники. По
регламентации упомянутых требований первое место
занимают военные стандарты США, в которых описываются
программы обеспечения надежности и ремонтопригодности,
методы расчета наработок на отказ (или между отказами),
методы испытаний на надежность и долговечность, методы
оценивания роста надежности и др.
Ответственность администрации предприятия –
гарантировать, что в документационном центре (архиве)
предприятия все документы соответствуют последней дате
выпуска и доступ к ним возможен для всех
заинтересованных лиц.
6 вопросов качества:
-что надо сделать;
-кто будет делать;
-почему;
-как;
-с помощью чего;
-когда.
Внедрение методов обеспечения качества в
процесс разработки и проектирования продукции
приводит к снижению затрат из-за несоответствий в
ходе производства и повышению его эффективности
вследствие сокращения затрат времени, энергии и
снижения отходов.
Контрольные вопросы по курсу
"Автоматизированное проектирование электронных
устройств"
•
•
•
•
•
•
1. Основные методы проектирования, история развития и
особенности.
2. Три основных этапа стратегии проектирования. Блочноиерархический подход. Иерархия структур систем.
3. Стадии жизненного цикла изделия. Основные стадии и
этапы процесса проектирования, их составляющие.
4. В чем сущность системного подхода при
проектировании. Дайте определение понятий внешнего и
внутреннего проектирования.
5. Описание процесса автоматизированного
проектирования. Структура интегрированной САПР,
программные продукты решения проектных задач в
электронной технике.
6. Виды описаний объекта проектирования в
интегрированной САПР. Основные принципы занесения
информации.
•
•
•
•
•
•
•
•
•
7. Методы принятия проектных решений в САПР. Виды
критериев, методы определения весовых коэффициентов.
8. В чем сущность теории планирования эксперимента.
Приведите планы полных факторных экспериментов типа 22 и 23.
9. Проектирование устройств силовой электроники.
Обобщенный алгоритм проектирования электронного
устройства.
10. Интеллектуальные алгоритмы, структурнопараметрическая оптимизация при проектировании
выпрямителя, инвертора, конвертора.
11. Проектирование компонентов силовой части схемы
электронного устройства. Алгоритмы проектирования
трансформатора, дросселя, транзисторных блоков.
11. Алгоритм проектирования конденсаторных блоков
фильтров.
13. Принципы формирования статических моделей
компонентов в программах проектирования. Формирование
модели электролитического конденсатора.
14. Проектирование устройств слаботочной электроники.
Алгоритм частичного структурного синтеза электронных схем.
15. Базовые элементы функциональных схем, критерии
оценки точности функционального преобразования.
•
•
•
•
•
•
•
•
•
•
16. Определение видов моделирования. Понятие модели.
Уровни моделей и соответствующие им виды схем.
17. Особенности аналитического и имитационного
моделирования.
18. Функциональное моделирование. Принципы
формирования функциональных моделей.
19. Основные задачи, решаемые на этапе функционального
моделирования.
20. Модели сигналов и элементов в системе
функционально-логического моделирования. Виды
моделирования цифровых устройств.
21. Схемотехническое моделирование. Основные виды
анализа. Принципы ввода топологии схемы.
22. Формирование моделей компонентов при
схемотехническом моделировании. Типы независимых и
управляемых источников. Особенности их использования.
23. Основные задачи, решаемые на этапе
схемотехнического моделирования.
24. Проблемы сходимости решений и основные
особенности описания схем при схемотехническом
моделировании.
•
•
•
•
•
•
•
•
•
25. Основные проектные процедуры минимизации при
проектировании электронных устройств.
26. В чем сущность методов статистического анализа и
расчета на худший случай.
27. Дайте определения метода комплексной оптимизации и
метода поузлового проектирования электронных устройств,
определите области их применения.
28. Обеспечение надежности электронных устройств в
системе автоматизированного проектирования.
29. Конструкторско-технологическое проектирование,
алгоритмы и критерии компоновки, размещения и трассировки.
30. Приведите примеры описания в среде пакета «PSPICE»
вольт- амперной характеристики элемента и модели решения
системы дифференциальных уравнений.
31. Автоматизированный синтез систем управления по
частотным и переходным характеристикам.
32. Проектный анализ электромагнитной совместимости
электронных устройств.
33.Обеспечение качества на этапе проектирования.