No Slide Title

Download Report

Transcript No Slide Title

PFC Use & Reduction in the
Semiconductor Industry
Prof. Karen K. Gleason,
Department of Chemical Engineering, MIT
Source materials contributed by :
Mr. Simon Karecki &
Prof. Rafael Reif
Department of Electrical Engineering & Computer Science, MIT
Dr. Michael T. Mocella
Dupont Fluoroproducts
© 1999 Massachusetts Institute of Technology. All rights reserved
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
1
Outline

PFC usage

PFC reduction strategies
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
2
Perfluorocompound (PFC)
Nomenclature

C2F6
–
–
–
–

Perfluoroethane
Hexafluoroethane
Freon-116
Dupont Zyron@-116
CF4
– Perfluoromethane
– Freon-14

CHF3
–
–
–
–
–
–
not truly a PFC (a HFC-hydrofluorocompound)
“PFC-like”: long atmospheric lifetime & high GWP
Fluoroform
Trifluoromethane
HFC-23
Freon-23
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
3
PFCs - Generally Unreactive => STABLE

Pros
– low toxicity,
– low explosive hazard

Cons
– long lifetimes in the atmosphere (high GWP)
– unaffected by current water scrubbers
– difficult to abate by subsequent chemical reactions
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
4
U.S. Semiconductor Industry
PFC Purchase (1993)
PFC
CF4
metric tons
67
%
22
C2 F 6
177
58
NF3
18
6
SF6
45
14
Total
307
100
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
5
PFCs Emitted by Other Industries

Aluminum production
– Single largest source (30,000 metric tons world-wide)
– CF4 & C2F6 emitted when aluminum smelting is disrupted
(“anode effect”)

Electrical power distribution
– Leakage of SF6 gas electrical insulation from circuit breakers,
substations, and transmission lines
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
6
Increase of PFC use in Semiconductor
Industry





Increased number of wafers per year
Increased wafer size (more material to etch per step)
Larger number of processing steps per wafer
Shift from wet to dry (gas-phase) processing
New applications (e.g. in-situ diffusion furnace cleaning)
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
7
Commitment to PFC Reductions





PFCs have a very high global warming impact. For all practical purpose,
the effects of PFCs are permanent.
The semiconductor industry (through the SIA) has agreed to a
Memorandum of Understanding (MOU) with the EPA, which, though
voluntary, commits the signatories to attempt to reduce and, if possible,
eliminate their PFC emissions.
Semiconductor industry accounts for a small percentage of total greenhouse
gas emission. However, IC industry usage is growing while emissions by
other industries are decreasing. Also, many small sources contribute to the
whole. All industries must contribute to reduction strategies.
PFC are specifically produced for the semiconductor industry, while in
other industries they are often an undesired by-product.
Whether or not the contribution of PFC emissions to any actual or perceived
global warming effect is significant, the semiconductor industry is
committed to reduce and, if possible, eliminate PFC emissions.
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
8
PFCs in Dielectric Film Processes




Dielectric film processes account for the majority of PFC use.
Dielectric film processes presently rely exclusively on PFCs.
PECVD chamber cleaning presently uses more PFCs than wafer
patterning (etching) and is the faster growing application, but
wafer patterning usage is still significant.
Chemistry for patterning & chamber cleaning may require the
same initial qualification work.
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
9
Specific Applications for PFCs

Silicon Dioxide Etching
– mostly CF4/CHF3 mixes
– also SF6 and NF3

Silicon Nitride Etching
– SF6, NF3, CF4 and CHF3 all used

Chamber Cleaning of CVD oxide & nitrides
– mostly C2F6
– new NF3 processes
– also SF6 and CF4
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
10
Distribution of PFC Use

1993 SEMITECH Report
– Etch- 36%
– Chamber Clean - 64 %



“low hanging fruit”
less stringent requirements for replacement
Largest fraction used by chamber cleaning
– long processes times
– high flow rates


Volumes used for chamber cleaning are growing
Other etch processes use is smaller
(polysilicon, refractory metal like W)
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
11
C 2F 6 Usage by IC Industry




Typical fab uses 50,000 lbs per year
Typical conversion efficiency is 30 to 40%
95% of C2F6 is used in chamber cleans
Typical flow rates (sccm)
– chamber cleaning 1000-2000
– etching 20-100
from R.G. Ridgeway et. al, Air Products in “Perfluorocompound (PFC)
Technical Update (7/13/95) SEMICON/WEST 95
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
12
% Conversion Efficiency


% of inlet gas passing through process unreacted & emitted in
the exhaust
Typical values
– 80-90% for NF3
– 10-15% for CF4
– 30-40% for C2 F6
– 50-60% for C3 F8

Roughly two-thirds of the most commonly used PFC in the
semiconductor industry, C2F6 , is vented to the atmosphere.
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
13
Strategies for Reducing PFC Emissions

“Decrease the use and reduce the emissions of potential global warming
semiconductor processing materials; specifically the perfluorocompounds
(PFCs), such as CF4, C2F6, NF3, and SF6.”
GLOBAL WARMING GAS REDUCTION
Chemical
Alternatives

Process
Optimization
Destruction/
Decomposition
Recycle/
Recovery
Plasma
Thermal/Chemical
Combustion
National Technology Roadmap for Semiconductors (SIA): non-PFCs to be
available by 2007.
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
14
Ranking of Emission Control Strategies




Cost of ownership (COO)(difficult to access)
Commercial availability
“Greenness”
Destructive/nondestructive
Replacement
Recovery
“greenness”
Plasma
commercial
availability
Chemical-Thermal
Combustion
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
15
Abatement
(Destruction/Decomposition)

Destruction of compounds in the effluent
– combustion
– plasma
– chemical conversion



Short-term solution
Potential high cost of ownership (COO)
Caution: by-products of abatement may themselves be
undesirable (NOx, CF4, HF, COF, HF) or have effects which are
yet to be known.
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
16
Combustion-Based Abatement








High degree of PFC decomposition commercially demonstrated
Several manufacturers (Centrotherm, DAS, Delatech, EcoSys,
Edwards/Alzeta, Toyo, Sanso.....)
Currently used by fabs to destroy other toxic and/or reactive effluents
(silane or TEOS units for CVD can be switched over to operate for PFC
abatement during chamber clean)
By-products, such as HF and NOx , require disposal
Nitrogen pump purge effects (larger volume of air to treat and to heat)
COO could be high
– hardware
– facilitization
– consumables (fuel: hydrogen, oxygen/methane/air)
– energy (heating of gas)
Safety of operation (~1300-1500°C)
Tends to be run continuously
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
17
Chemical-Thermal Abatement
(Reactive Adsorption)






Several developmental systems (CS Systems, Edwards, Kanto
Denka,...), more commercial demonstrations needed for PFCs
Some fab uses for other applications (ie Cl from metal etch),
potential for switching (i.e. dual use).
Nitrogen pump purge effects
No external chemicals/fuels (direct pyrolysis)
Metal consumable [Iron supported on high surface area alumina
(Al2O3) pellets is converted to metal fluoride @ ~400°C. In
some cases this could be used as ore for metal refining.]
Uses packed bed reactor
– solids replacement? (will influence COO)
– thermal management (heat needs to be removed from bed)
– plugging & breakthrough

Small units, easy on/off use
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
18
Plasma-Based Abatement









Developmental systems (ETC, Texas A&M, MIT, Motorola, Los
Alamos, NRL......), commercial demonstration needed
Based on existing plasma technology
Uses undiluted process stream (no nitrogen purge effect)
By-product disposal (HF)
Potential for backstreaming to process reactor
Needs to be controlled based on operation of process reactor
Fast switching
Small
Can be incorporated into CVD tool (sometimes called chamber
satellites or processing aides)
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
19
Recycle




Desirable for processes with low conversion efficiencies (10-30%)
Overall efficiency can be 100% with recycle
Pretreatment , such as condensation to remove etch products
(acids, pyrophorics, particulates)
Recovered purity must be very high to allow for direct reuse
pure PFC
reactor
pure PFC
unreacted
PFC &
separator
etch
products
etch products
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
20
Recovery (+ Recycle/Reclaim)




Developmental activities (Air Liquide, Air Products/Radian
BOC, Praxair/EcoSys, Showa Denko....). Commercial
demonstration needed.
nitrogen pump purge effects
By-product management (safety issues for concentrated
materials)
Favorable COO for direct recycle
Gleason, et al.
NSF/SRC Engineering Research Center for Environmentally Benign Semiconductor Manufacturing
21