Chemical Aspects of Chemical Mechanical Polishing

Download Report

Transcript Chemical Aspects of Chemical Mechanical Polishing

Colloidal Aspects of Chemical
Mechanical Polishing (CMP)
Tanuja Gopal & Jan Talbot
Chemical Engineering Program
University of California, San Diego
May 10, 2004
1
Outline
Introduction
Background & Motivation
Research Approach
Experimental Results
Conclusions
Future Work
2
What is CMP?
CMP is a method through synergistic effects of chemical and
mechanical forces to achieve local and global planarization
of Integrated Circuit (IC) structures.
Unplanarized
Surface smoothing
Local
planarization
Global
planarization
Ref.: Steigerwald, J. M., Murarka, S. P. and R. Gutmann, Chemical Mechanical
Planarization of Microelectronic Materials, Wiley and Sons, New York (1997).
3
CMP Applications
Oxide CMP
SiO2
CMP
Si
Si
Metal CMP
Ta
SiO2
Patterning Dielectric
Barrier Layer Deposition
Cu
CMP
Blanket Metalization
After CMP
4
CMP Schematic
P = 1.5-13 psi
wafer carrier
V= 20-60 rpm
wafer
slurry (100-300 ml/min)
polishing pad
(polyurethane)
platen head
wafer
slurry
polishing pad
5
CMP Parameters
Process Variables



Wafer down pressure
Wafer velocity
Pad characteristics
Process Results
 Material Removal Rate


Planarization
Surface finish
Particle characteristics
 Slurry chemistry
 Substrate characteristics

6
Typical Process Conditions
Wafer
 Wafer rotational speed = 20 - 60 rpm
 Applied pressure = 1.5-13 psi
Slurry
 Flow rate = 100 - 300 ml per min
 Particle type = silica, alumina, ceria, titania, etc.
 Particle concentration = 1 - 30 % by weight
 Particle size = 50 - 1000 nm diameter
Removal Rate
 SiO2 = 200 - 300 nm per minute
 Cu or W = 300 - 600 nm per minute
 Planarization time = 1- 3 min
 RMS roughness = < 1 nm
7
Mass Transfer Process
(a) movement of solvent
into the surface layer
under load imposed by
abrasive particle
(b) surface dissolution
under load
(c) adsorption of
dissolution products onto
abrasive particle surface
(d) re-adsorption of
dissolution products
(e) surface dissolution
without a load
(f) dissolution products
washed away or dissolved
Dissolution products
Abrasive particle
Surface
Surface dissolution
Ref.: L. M. Cook, J. Non-Crystalline Solids,
120, 152 (1990).
8
CMP Defects
Surface
Particle Embedded
Particle
Ripout
Residual
Slurry
Microscatch
Ref.: Philipossian et al. (2001)
Dishing
9
Why CMP ?
Multi-material surfaces
Global planarization



200 and 300 mm (8 and 12 inch) wafers
ICs have feature sizes <0.2 m
RMS roughness: < 1nm
Disadvantages



Large water consumption
CMP defects
End point detection
10
Motivation for Research
Fundamental understanding of chemical effects in CMP
 Role of slurry chemistry not understood (additives, ionic
strength, pH)
 Optimize slurries -high removal rates w/ adequate planarity
 Reduce consumables (slurries are expensive, mostly not
recycled)
 Enhance post CMP cleaning – large water usage
 Focus on Copper CMP – Cu interconnect of choice
Lack of comprehensive CMP model

Lou and Dornfeld CMP mechanical model- add colloidal effects
11
Research Approach
Experimental study of colloidal behavior of CMP
slurries

Zeta potential and particle size distribution measurements





Function of pH, ionic strength, additives
Commercial alumina slurries
Alumina – no additives
Alumina in presence of common Cu CMP additives
Agglomeration during CMP
Incorporate colloidal chemistry into existing
mechanical model by Lou and Dornfeld


Average particle size, standard deviation parameters
Comparison to literature material removal rates
12
Cu CMP Chemical Reactions
Dissolution:
Cu(s) + HL  CuL+(aq) + H+ + e
Oxidation:
2Cu + H2O  Cu2O + 2H+ + 2e
Oxide dissolution:
Cu2O + 3H2O  2CuO22- + 6H+ + 2e
CuL+, Cu2+, Cu+
CuO, Cu2O, CuL2
Cu
Complexation (to enhance solubility)
Cu2+ + HL  CuL+ + H+
13
Pourbaix Diagrams
Pourbaix diagrams-predicts stable phases in
aqueous systems at equilibrium
copper-water system, [CuT]=10-5M
Ref.: Aksu and Doyle (2002)
copper-water-glycine system, [LT]=10-1M
[CuT]=10-5M
14
Colloidal Aspects of CMP
Interaction forces influence particle stability, aggregation,deposition
1) Particle – particle
2) Particle – surface
3) Particle – dissolution product
4) Surface – dissolution product
Abrasive particle
Dissolution product
Surface
15
Electrical Double Layer
Diffuse Layer
+
+
Shear Plane
•Potential at surface usually
stems from adsorption of lattice
ions, H+ or OH•Potential is highly sensitive to
chemistry of slurry
•Slurries are stable when all
particles carry same charge;
electrical repulsion overcomes
Van der Waals attractive forces
•Agglomeration may occur for
|| < 5mV.
+
+ + +
a +
+
+
+
+ + +
+
+
+
+
+
+
u V / E
+
  u / 
+ +
+
Potential
Particle Surface
+

1/
1/ 2
 2000F I 

  
  o r RT 
1
2
I   ci zi
2 i
2
Distance
16
Measurement of Zeta Potential
Particle velocity measured
through microscope using
rotating prism technique
EYEPIECE
PRISM
MICROSCOPE
•Pen Kem Lazer Zee Meter
•accuracy = ± 5mV
• Brookhaven ZetaPlus
•accuracy = ± 2%
•particle size-light scattering
||≥ 30 mV: stable
|| < 5 mV: agglomeration
 calculated using Smoluchowski eqn:
(valid for  a >>1)
 = v/E
17
Background – Colloidal Effects
Zeta potential and isoelectric point (IEP, pH
where surface charge is
neutral) of polished
surface and abrasive
particle is important
40
Polishing Regime
20
0
-20 1
2
3
4
5
6
7
8
9
10
-40
-60
Al2O3
-80
-100
SiO2
W
pH
Ref.:Malik et al. (1997)
18
• Polishing rate dependent upon
colloidal particle - W in KIO3
slurries
(Stein et al., J. Electrochem. Soc.
1999)
Oxide Isoelectric point
Polishing rate (A/min)
• Maximum polishing rates for
glass observed compound IEP ~
solution pH > surface IEP
(Cook, 1990)
Glass polishing rate (m/min)
Colloidal effects
19
Colloid oxide
Agglomeration
Agglomeration process of the slurry versus pH,
additive concentration, and ion concentration
(Bellman et al., 2002)
20
Removal Rate in CMP
Preston’s Equation - most
widely used model in CMP:
Drawbacks of Preston’s Eqn:


MRR = K*V*P

– MRR = Material removal rate

– K = Preston constant
– P = Pressure in the wafer- pad space
– V = Linear pad- wafer velocity
Does not take into account
chemical synergistic effects
Fails to provide insight into the
interaction process (particle
size, concentration, pad
variables etc.)
Ref.: Luo and
Dornfeld (1998)
21
Model Review
Mechanical Models:
•Boning (2001)
•Parameters:P,V, pattern density, step height
•Discretize the chip to create a P profile then use Preston’s
Eqn. to calculate removal rate.
•Dornfeld (2001)
•Parameters: P, V, pad hardness, pad roughness, abrasive size,
abrasive geometry, wafer hardness
•MRR = w N Vol
•w = density of wafer
•N = number of active abrasives
•Vol = volume removed by single abrasive
22
Model Review
Chemical Models:
•Stein model (1999) : MRR = k’PV/(1+k”PV)
•Main variables: type of colloidal species and concentration
•Chemistry, particle size, P, V constant
•Found that MRR and temperature were functions of colloid
species concentration
•Subramanian model (1999): mass transport model
•Chemical removal of material coupled with mass transport
•MRR lower than observed rates because excludes
mechanical action
•Gutman (2000): MRR = k’[O]/(1+k”[O])
•Main variable: Oxidizer concentration
•MRR increases with oxidizer concentration upto saturation
point (2 wt %)
23
Model Review
Synergistic Model:
•Gokis (2000)- MRR results from abrasive and chemical action
MRR = kchem (RRmech)o + kmech (RRchem)o
(RRmech)o = mechanical wear = Ke PV
(RRchem)o = chem. dissolution = kr exp(-E/RT)PCin
kchem = factor accounting for chemical modification
kmech = factor accounting for abrasive activation
24
Effects of glycine and H2O2 on
Cu removal rate
Material Removal Rate (nm/min) ..
500
(Seal et al., 2003)
0.1M glycine
400
without glycine
300
etch rate without
glycine
200
100
0
0
2
4
6
8
10
H2O2 wt%
25
Experimental Study
A) Measurement of Zeta Potential
Alumina, silica
 pH
 Ionic strength
 Ultrasonication
 Cu CMP additives
Stability of colloidal particles
B) Measurement of particle size and distribution as
function of slurry chemistry


Coagulation/ well-dispersed
Bi-modal – near IEP
26
Research Study
Experiments

Ceralox® alumina




DI H2O
w/ KCl to alter ionic strength –(Babu et al., 2000)
Commercial alumina slurries from Stein (Sandia National Laboratories)
EKC Tech slurry (Doyle, UCB)- Cu CMP slurry additives
Model MRR predictions vs. literature experimental
polishing data

Average particle size and standard deviations used in Lou and
Dornfeld model
27
Alumina particles in DDI H2O
60
50
(Sumitomo Chem. Co.,250 nm)
Zeta Potential (mV)...
40
30
20
(Ceralox®, 300 nm)
10
0
-10 3
4
5
6
7
8
9
10
11
12
-20
-30
-40
pH
IEP  9
28
40
1.0E+00
30
1.0E-01
Zeta Potential (mV)
20
1.0E-02
10
1.0E-03
0
3
4
5
6
7
8
9
10
11 1.0E-04
-10
-20
-30
1.0E-05
1.0E-06
-40
1.0E-07
-50
1.0E-08
Ionic Strength: 10-4 to 10-7M
Ionic Strength (M)
Ceralox® alumina – ionic strength
29
 vs. pH for Ceralox alumina particles with
40
30
2
pH 5.6
100
1.5
5
7
9
11
1
0.5
-40
-50
0
pH
IEP ~9, agglomeration
Broader distribution near
IEP
Average size 300 nm
pH 8.8
80
Intensity
20
10
0
-10 3
-20
-30
120
Effective Diameter
(microns)...
Zeta Potential (mV)...
10-3M KNO3
60
40
20
0
0
500
1000 1500 2000 2500 3000 3500
Diameter (nm)
Standard deviation


pH 3.5-7 ~ 10 nm
pH 9 ~300 nm
30
Common Cu slurry additives
Additives
Name
Concentration
Buffering agent
NH4OH, KOH, HNO3
bulk pH 3-8
Complexing agent
Glycine
Ethylene-diamine-tetra-acetate
(EDTA)
citric acid
0.01-0.1M
Corrosion inhibitor Benzotriazole (BTA)
3-amino-triazole (ATA) KI
0.01-1wt%
Oxidizer
H2O2, KIO3, K3Fe(CN)
citric acid
0-2 wt%
Surfactant
Sodium-dodecyl-sulfate (SDS), 1-20 mM
cetyltrimethyl-ammoniumbromide (CTAB)
31
 and particle size vs. pH for EKC Tech alumina
with 10-3M KNO3
60
Zeta Potential (mV)..
3000
40
2500
20
2000
1500
0
3
4
5
6
7
8
-20
9
10
11 1000
Effective Particle Size (nm)..
3500
500
-40
0
pH
IEP ~9 → agglomeration
 varied by±15%
200 nm - pH<8
particle size standard deviation



< 5nm for pH>8
 > 300 nm for pH<8
32
70
4500
60
4000
50
3500
40
3000
30
20
10
2500
0.001M glycine
2000
0.01M glycine
0.1M glycine
1500
0
-10 3
4
5
6
7
8
9
10
11 1000
-20
500
-30
0
Effective Particle Size (nm)...
Zeta Potential (mV)...
 and particle size vs. pH for EKC Tech alumina
with 10-3M KNO3 and glycine
pH
IEP ~9, agglomeration
 varied by ±2%
200 nm pH<8
33
 and particle size vs. pH for EKC Tech alumina
with 10-3M SDS and 10-3M KNO3
0
-5
-10
-15
-20
-25
-30
-35
-40
-45
-50
300
30
200
150
25
20
Percentage
250
Particle Size (nm)..
Zeta Potential (mV)..
pH 6
15
10
5
100
3
5
7
pH
9
0
-5
0
50
100
150
200
250
300
Particle Size (nm)
 ranged from -34 to -46 mV
Average particle size ~220nm (approximately double stated size)
Particle size standard deviation small (< 5nm)
34
350
 and particle size vs. pH for EKC Tech alumina
with 0.01 wt% BTA or 0.01M EDTA & 10-3M KNO3
50
50
3000
3000
40
40
10
1500
0
1000
-10
500
-20
-30
0
3
4
5
6
7
8
9
10
11
Zeta Potential (mV)..
2000
20
Effective Particle Size (nm)..
Zeta Potential (mV)..
30
30
2000
20
10
1500
0
1000
-10
500
-20
-30
0
3
4
5
6
7
pH
8
9
10
11
pH
BTA - no effect
EDTA - shifted IEP to pH 5, large particles
35
Effecti ve Particle Size (nm)..
2500
2500
Lou and Dornfeld Mechanical Model
Basic Eqn. of Material Removal: MRR = N x Vol
N
Slurry
Concentration C
Average Abrasive
Size Xavg
Proportion of
Active Abrasives
Ref.: Lou and Dornfeld (2001)
Vol
Force F & Velocity
Active Abrasive
Size Xact
Passivation rate
Wafer hardness Hw
36
Overall Research Approach
Comprehensive Model (Dornfeld, 2003)
a) Mechanical effects (Dornfeld et al., UCB)
b) Electrochemical effects (Doyle et al., UCB)
c) Colloidal effects (Talbot & Gopal, UCSD)
•Si Wafer
•Pressure: 1.5 psi
•Velocity: 2-12 rpm
•Polishing time: 2-4 hours
Slurry film thickness (mm)
(Moon and Dornfeld et al. 1999)
37
Model Sensitivity to Standard Dev.
Simplified dependency on standard deviation
x

MRR 
avg
 3

2
3
xavg
For xavg <500 nm small variation σ results in
large % change in MRR
38
Collision Efficiency
0.40
0.35
Collision Efficiency...
0.30
•CMP 104-106 s-1
0.25
•Collison Efficiencyao)fraction collisions →
permanent attachment
0.20
0.15
0.10
•Most particles do not
agglomerate
1000 nm
500 nm
0.05
300 nm
100 nm
0.00
104
10000
105
100000
G (Shear Rate s -1)
106
1000000


A
a o  f (a) 
3
36

Ga


39
Maximum Aggregate Size
Effective Particle Size (nm)
Max. Aggregate Size (nm)
Shear rate 104s-1
100
180
200 or greater
Total aggregate break up
Shear rate 103s-1
100
1800
200
900
300
600
400 or greater
Total aggregate break up
A


Rmax = 

2
 18Ga 
1/ 2
40
•P = 1 psi, 4 inch blanket wafer, wafer carrier & platen velocity = 100 rpm, pad
hardness = 100 MP, passivation rate = 100 nm/min
41
MRR prediction and particle size for alumina
with and without glycine
0.1 M glycine
No additives
200
3000
2500
150
2000
100
1500
1000
50
500
0
0
3
4
5
6
7
pH
8
9
10
11
MRR (nm/min) @ 1psi...
3500
250
4000
3500
200
3000
2500
150
2000
100
1500
1000
50
500
0
0
3
4
5
6
7
8
9
10
11
pH
Max. MRR 160 nm/min without additives
Max. MRR 120nm/min with 0.1M glycine
42
Effective Particle Size (nm)
4000
Effective Particle Size (nm)..
MRR (nm/min) @ 1psi..
250
MRR prediction and particle size for alumina
with glycine and hydrogen peroxide
200
3000
2500
150
2000
100
1500
1000
50
4000
3500
200
3000
2500
150
2000
100
1500
1000
50
500
500
0
0
3
5
7
pH
9
11
0
0
3
5
7
9
11
pH
Max. MRR 170 nm/min with 0.1 wt% H2O2
Max. MRR 220 nm/min with 2 wt% H2O2
43
Effective Particle Size (nm)..
3500
250
MRR (nm/min) @ 1psi ..
4000
Effective Particle Size (nm)..
250
MRR (nm/min) @ 1psi ..
0.1M glycine, 2 wt% H2O2
0.1M glycine, 0.1wt%
H2O2
0.01wt% BTA, 10-3M SDS,
0.01M EDTA, 0.1wt% H2O2,
4000
3500
3000
2500
2000
1500
1000
500
0
3
4
5
6
pH
7
8
9
10
MRR (nm/min) @ 1psi
10
9
8
7
6
5
4
3
2
1
0
10
9
8
7
6
5
4
3
2
1
0
11
MRR 1-10 nm/min
Particle size 0.5 -3 microns
4000
3500
3000
2500
2000
1500
1000
500
0
3
4
5
6
pH
7
8
9
10
11
44
Effective Particle Size
0.01wt% BTA, 10-3M SDS,
0.1M glycine, 0.1wt% H2O2,
Effective Particle Size
(nm)
MRR (nm/min) @ 1psi
MRR prediction and particle size for alumina
with Cu slurry additives
Summary- effects of additives
Additive
Glycine
Effect
BTA
No effect
EDTA
Unstable,
agglomeration
2x agglomeration,
stable, negative ζ
SDS

stabilizing agent
45
Conclusions
Background electrolyte
Particle size distribution vs. IEP
Effects of Cu polishing rates w/different
chemistries

Cu-glycine complexes in presence of H2O2 result in
increased MRR
Slurry additives affect colloidal behavior – pH
largest effect
Lou and Dornfeld model


Can predict trends well
Model is sensitive to variation of 
46
Future Work
Cu CMP Experiments
Slurry additives: glycine, hydrogen peroxide
Zeta potential – w/ dissolved Cu or Cu particles
Model improvements
Use actual particle distribution
Surface hardness link to chemistry
Passivation rate of Cu (Doyle)
Adhesion tests – post-CMP cleaning
47