Transcript Document

Chapter 2
CARRIER AND DOPING DENSITY
1
2.1 Introduction


Carrier density is related to the resistivity but is
usually measured independently.
Carrier density and doping density are identical
for uniformly doped material, but are different for
nonuniformly doped materials.
2
2.2 CAPACITANCE-VOLTAGE MEASUREMENTS
3
Differential Capacitance
(a) A reverse-biased Schottky diode, (b) the doping density and majority carrier density profiles
in the depletion approximation
4
A small ac voltage with a frequency of 10 KHz to 1
MHz and an amplitude of 10 to 20 mV is applied to
obtain a charge increment.
5
6
In order to calculate the doping profile, the
area must be known precisely. The C-V curve is
measured, but the slope dC/dV or d(1/C2)/dV is
used to calculate the doping profile.
The calculated doping density is located at
7



The above mentioned method can be used in one-sided
pn junction, provided that the heavily doped concentration
is at least two orders of magnitude higher than the lightly
doped one.
MOS-C can also be used, but it must be operated in deep
depletion during the measurement. Interface traps and
minority carrier generation may affect the results.
The space charge region in MOS-C is modified to
8


What really removed by the ac voltage is effective
carrier density, that is not the doping density.
The effective carrier density is approximately the
majority carrier density, therefore, the equations
become:
9
(a) C-V curve of a Si n+ p diode.
A=2.5 X 10-3 cm2, T=300 K .
(b)
1/C2 -V curve
10



No clear information is obtained from the C-V curve.
It is obvious that d(1/C2)/dV is constant in figure (b).
The carrier density profile is given in figure (c).
(c) p(x) – W profile.
A=2.5 X 10-3 cm2, T=300 K .
11
A schematic representation of the doping and majority carrier density of a
nonuniformly doped layer .( a ) Zero-biased junction, ( b ) reverse-biased
junction showing the doping profile, the majority carrier profiles in the depletion
approximation and the actual majority carrier profiles for two reverse-bias
voltages ·
12
• The steeper the doping gradient, the more carrier
density differ from the doping density.
• The Debye length is a measure of the distance over
which a charge imbalance is neutralized by majority
carriers under steady state or thermal equilibrium.
• The Debye length sets a limit to the spatial resolution
of the measured profile.
13


The actually measured is an effective profile
which is not the doping density profile but is closer
to the majority carrier profile for nonuniformly
doped substrate. All three profiles are identical for
uniformly doped substrate.
A simplified relation between the doping density
and the measured majority carrier concentration is
14



A step profile can not be resolved within 2~3
Debye lengths.
Most of the above mentioned equations are
derived based on depletion approximation, which
assumes zero mobile carrier density in the space
charge region, it is not valid for zero- or forwardbiased junction.
Neglect majority carrier will introduce errors in
MOS-C measurements. Taking majority carrier
into consideration can correct uniformly doped
substrate only.
15
Doping density profiles for three samples. The filled circles are experimental data.
The dashed lines indicate the profiles in the absence of interface states. The dot dash lines show the profiles when the depletion approximation is used.
16
Band Offset
(a) Cross-section and band diagram of two semiconductors with different
band gaps, (b)schematic C-V and 1/C2-V plots. Real plots are smeared out
and do not exhibit the sharp features shown here.
17


C-V measurements can be used to measure n-N or
p-P heterojunctions. The plateau capacitance Cp1 is
related to the thickness of n-type material, and the
plateau voltage ΔVp1 is related to the band offset.
For n* being the effective electron density, ND(x) the
doping density, the interfacial charge at the
heterojunction is
18
The conduction band discontinuity is
where n1,n2 are the free electron densities of n- and
N-type semiconductors; Nc1, Nc2, the effective
density of states of the conduction bands; and xi the
location of the heterojunction interface.
19
Density plot of n-GaAs/N-Al0.3Ga0.7As heterojunction. The points are
experimental data, the straight line is the assumed donor density.
20
From the previous data,
Qi/q=2.74×1010cm-2 and
ΔEc=0.248eV were obtained.
21
22
Maximum-Minimum MOS-C Capacitance
C-VG curve for an SiO2/Si MOS capacitor. NA = 1017 cm-3,tox = 10 nm, A = 5 X
10-4 cm2.
23
The average scr doping density of a MOS-C can be
obtained by measuring the max. C (the accumulation
region Cox) and the min. C (the hf strong inv. Region
Cinv).
Cs=KsεoA/W
ψs,inv=2ψF+(4~6)kT/q
24
From the measured C we can calculate W and
then find out NA.
or use Cinv instead of C2ψF
Where R=Cinv/Cox
25
An empirical equation is given as
where C1=RCox/(A(1-R))
The results are shown below.
26
Doping density versus Cinv/Cox as a function of oxide thickness for the SiO2/Si
system at T = 300 K.
27
MOSFET can also be used.
(a) MOSFET connection to determine the doping density of the gate, (b) resulting
C-V curve calculated, ND = 5 X 1019 cm-3,tox = 10 nm.
28
Integral Capacitance
Differentiation often results in noisy profile,
especially for low dose ion implanted material.
• Integrate part of the C-V curve to obtain a partial
dose of the implantation.
• The chosen region can not extend into the uniformly
doped substrate, nor into the region within 2~3 Debye
lengths from the surface.
• The partial dose is given by
29
The area dependence is linear not square dependent.
Besides, the ion implantation projected region R can
be decided by
30
31
Mercury Probe Contact
• C-V measurement needs to form a junction,
sometimes, high temperature process is
not desirable, then a temporary contact is
in need.
• The mercury contact is a well defined
orifice with a known area. It can be as
small as in the um range to perform the
wafer mapping.
32
Electrochemical C-V Profiler
• Depth profiling can be obtained by etching the
semiconductor surface between C-V measurement.
• Early measurements divided the measurement and
etch processes, in this method they are combined
into one operation.
• A dc current is passed through the I terminal, the dc
voltage is monitored through the V terminal, and in
order to reduce the series resistance, the ac signal is
applied through the ac terminal.
33
Schematic diagram of the electrochemical cell showing the Pt, saturated
calomel and carbon electrodes and the pump to agitate the electrolyte and
disperse bubbles on the semiconductor surface.
34
The measured carrier density is
Where Δv is the ac voltage, typically
100~300mV, 30~40Hz; and ΔW is the
resulting scr width change.
W is determined by measuring the imaginary
part of the current.
35
Dissolution of the semiconductor depends on the
presence of holes.
For p-type semiconductor holes are obtained by
forward bias the electrolyte-semiconductor junction.
For n-type semiconductor holes are obtained by
illuminating and reverse bias the junction.
36
The etching depth depends on the dissolution
current Idis.
where M is the semiconductor molecular weight,
z is the dissolution valency (number of charge
carriers required to dissolve one semiconductor
atom), F the Faraday constant (9.64×104C), ρ the
semiconductor density, and A the contact area.
37


This method is mostly suitable for III-V materials
because z=6 is well defined. For Si z varies from
2~5.
Hydrogen bubbles may cause nonuniformity and
degrade the etching rate. This problem is solved
by using a pulsed jet of the electrolyte.
38
Profiles obtained with the ECV profiler and with SIMS. (a) p+(B)/p(B) Si, (b)
n+(As)/p(B) Si. One drop of Triton X-100 is added to 100ml electrolyte gives
z=3.7±0.1 for Si.
39
2.3 CURRENT-VOLTAGE MEASUREMENT
40
MOSFET Substrate Voltage-Gate Voltage



C-V measurement are performed at frequencies of
0.1~1MHz in order to reduce stray capacitance and
increase the signal to noise ratio.
Typical diode area for C-V measurement is 0.1~1
mm diameter.
I-V measurement performed on MOSFET are used
to obtain similar information in small area.
41




The MOSFET is operated in linear region with small
VDS≦100mV.
Apply a substrate voltage to change the scr width
under the gate.
Adjust VGS whenever VSB is changed to keep IDS
constant to ensure a fixed inversion charge density.
The relevant equations are
42
Operational amplifier circuit for (a) the MOSFET substrate / gate voltage
method, (b) the MOSFET threshold voltage method.
43
Some considerations that affect the accuracy of this
method.
 Constant IDC corresponding to constant inversion
charge density is only a first order approximation.
 When VGS changes the mobility changes.
 The profile is affected by short channel effects.
 The profile can not be obtained within 3 Debye length
to the surface, the Debye limit.
44
MOSFET Threshold Voltage
The threshold voltage is measured as a function of VSB.
where γ=(2qKsεoNA)1/2/Cox
The measured depth is
45
First, measure VT vs. VSB, plot VT against (2ψF+VSB)1/2.
The slope gives γ.
NA can be calculated from a known value of γ.
ψF is a function of NA, therefore, iteration method is
necessary to obtain accurate results.
Constant drain current (typically 1 μA) method is used to
determine VT (so, it can be read directly from the
measuring circuit).
46
Dopant profiles determined by MOSFET threshold voltage, SRP, pulsed C-V ,
and SUPREM3. Reprinted after Ref. 62 by permission of IEEE.
47
Operational amplifier circuit for (a) the MOSFET substrate / gate voltage
method, (b) the MOSFET threshold voltage method.
48
Spreading Resistance

Spreading resistance method discussed in CH 1
is considered as an I-V method of the profiling
measurement.
49
2.4 MEASUREMENT ERRORS AND
PRECAUTIONS
50
Debye Length and Voltage Breakdown
Mobile majority carriers do not follow the doping
profile if the doing density varies within the Debye
length. What being measured is the effective carrier
density.
How close we can measure to the surface:
MOS devices: 3LD
Schottky diode: the zero bias scr width W0V
pn junction: junction depth+W0V
The measured profile depth upper limit is the
breakdown scr width, WBD. There is no depth limit in
Electrochemical C-V Profiler
51
Spatial profiling limits. The “3 LD” line is the lower limit for conventional MOS-C
profiling, the zero bias “W0V” line is the lower limit for pn and Schottky diode
profiling, and the “WBD” line is the upper profile limit governed by bulk
breakdown.
52
For degenerate semiconductor, the resolution is
limited by Thomas-Fermi screening length LTF :
where h is Planck`s constant and m* is the effective
mass .
53
For δ-doped semiconductor or quantum well, the
resolution limit is
where N2D is the two dimensional doping
density in cm-2.
Materials with higher carrier effective mass
have better resolution.
54
Series Resistance
(a) Actual circuit, (b) parallel equivalent circuit, (c) series equivalent
circuit for pn or Schottky diode.
55
56
True capacitance is measured for Q≧5, where
Q=ωC/G.
Wafer placed on a probe station without back
metallization has series contact resistance.
This can be checked by reducing the measurement
frequency, if CP is increased then there is rs.
 22 C S 2   12 C S 1
C can be determined by: C 
 22   12
Where Cs1 is measured at ω1, Cs2 is measured at ω2.
57
58
In MOS devices if the back contact resistance rc causes
problem, it may be advantageous to leave the back oxide
on, the contact capacitance Cc may be performed as a
short circuit at the measurement frequency.
Equivalent circuits with ( a ) contact resistance , ( b ) contact capacitance .
The elements within the dashed rectangles represent the intrinsic device .
59
60
Series resistance changes the phase angle between
the measured V and I, that interferes the profile
measurements, if rsG <<1 then from the relation
between Cp and C, we can find
61
Measured dopant profiles for a GaAs epitaxial layer on a semiinsulating substrate. The series resistance was obtained by
placing resistors in series with the device.
62
Minority Carriers and Interface Traps
(a) Equilibrium C-VG curve of an MOS-C, deep-depletion curves for (i) the
sweep rate is 5 V/s and for (ii), (iii) is 0.1V/s, (b) the carrier density profiles
determined from (a). Cox= 98 pF, tox = 120 nm.
63




For curve (i) the minority carrier generation is
negligible.
For slower sweeping rate the minority carrier
generation causes errors.
Cooling the device in LN2 can reduce the generation
rate.
The dC/dV for curve (ii) is lower than the dC/dV for
curve (i).
64




Interface states cause C-V curves to stretch out.
It can be corrected by high- and low-frequency
measurements
Pulsed C-V measurement at 30M Hz or cooling the
device can reduce the interface effect.
Interfacial layer causes errors in Schottky diode C-V
measurement, n≦1.1 is satisfactory for profiling.
65
Diode Edge and Stray Capacitance



Accurate C-V profiling needs a precise contact
area.
The effective area should take lateral space
charge region into consideration.
If lateral extend equals to the vertical extend, then
where C=KsεoA/W, A=πr2, r is the contact radius,
For Si and GaAs b=1.5, for Ge b= 1.46.
66



The edge effect is negligible if r≧100bW.
The effective doping density is related to the
actual doping density by
The minimum recommended radius depends on
the doping concentration is
67

Ceff=AC+PCper+NCcor
A is the area, p the perimeter, N the number of
corners.

Stray capacitance comes from:
1. cable (calibration before measurement)
2. probe (calibration before measurement)
3. bonding pads (calculation)
4. gate protection diode in MOSFET
68
Excess Leakage Current

The voltage across entire diode is
V=Vjunction + Ileakage × quasi-neutral region resistance.
If excess leakage current occurs, such that
considerable voltage drops in the quasi-neutral
region, then errors are introduced in calculating the
depletion width.
69
Deep-Level Dopants / Traps


For C-V profiling measurements, the ac frequency
should be high enough such that the traps are
unable to follow it; and the dc voltage should be
changed slow enough such that the traps can
respond to it.
The deep-level dopants may not be totally ionized,
the emission time constant is
where σp is the capture cross section area, vth the
thermal velocity, Nv the effective density of states
in the valence band.
70
Band diagram of a reverse-biased Schottky diode showing complete ionization
in the space-charge region (scr) but only partial ionization in the quasi-neutral
region (qnr). (a) V=V1﹐(b)V=V1 +ΔV
71




If τe﹤1/2πf, then carriers can be emitted during
the positive ac half cycle, normal C-V curve can
be obtained.
If τe>1/2πf, then carriers can not be emitted
during the positive ac half cycle, the measured CV curve can not present accurate doping profile.
During the negative ac half cycle, the scr narrows
and the carriers are captured rather than emitted.
Usually, capture time is faster than the emission
time.
72
Semi-insulating Substrate


Semi-insulating Substrate, SOI, p on n, or n on p,
have the following problem. When reverse bias
increases on contact 1, the series resistance rs
also increases.
The area of contact 2 should be much larger than
that of contact 1, such that C2 is short-circuited
and the C1 is measured.
Conducting layer on an insulating
substrate showing the increasing
series resistance with increasing
back bias on contact 1.
73
Instrumental Limitations

In C-V measurement, usually ΔV is kept constant
so that
When W increases and C decreases, ΔC
decreases, and the instrumental limitations may
affect the results.
74
2.5 HALL EFFECT
75
The Hall coefficient is defined as
where b=μn/ μp
and r is the scattering factor
for lattice scattering r=3π/8=1.18
for ionized impurity scattering r=315π/512=1.93
for neutral impurity scattering r=1
Usually, r is unknown and assumed to be 1.
76
The Hall coefficient is determined experimentally as
where t is the sample thickness
For extrinsic p-type material, p is larger than n,
and for extrinsic n-type material,
The carrier type and carrier density can be determined
from the Hall Coefficient.
Assuming r=1 results in about 30% error.
77
If a p-type semiconductor with doping density NA is
compensated with ND, then the hole density is
determined from:
where g≒ 4 is the degeneracy factor for acceptors,
and EA the acceptor energy level above valance band.
78
The slope of log(p) vs. 1/T is EA or EA/2, depends on the amount of ND.
79
Carrier density vs. reciprocal temperature for Si : In with Al and B contamination.
NIn = 4.5 X 1016 cm-3, EIn = 0.164 eV, NAl = 6.4 X 1013 cm-3, EAl=0.07 eV, NB = 1.6
X 1013 cm-3,ND = 2 X 1013 cm-3.
80



Hall measurement gives the averaged carrier
density.
Density profile can be obtained with differential Hall
effect (DHE) measurements.
If the sheet Hall coefficient RHs=VH/BI is measured,
the carrier density profile is
81
For a p on n or n on p two layer material with a top layer
thickness t1, conductivity σ1, Hall constant RH1 and a
bottom layer thickness t2, conductivity σ2, Hall constant
RH2 ,The Hall constant is
where t= t1+ t2and
82
If the top layer is more heavily dopes than the substrate
or it is formed by inversion through surface charges,
such that σ2 <<σ1 , then
83
2.6 OPTICAL TECHNIQUES
84
Plasma Resonance
The semiconductor reflection coefficient is
where n is refractive index
k=αλ/4π is the extinction coefficient
α the absorption coefficient, λ the wavelength
At plasma resonance
λ=λp , R ≒1,
m* is the effective mass
85


The free carrier density p can be determined from λp.
Usually, λp is not well defined, and it is λmin at the
Rmin being determined; λmin < λp .
An empirical equation is used to find out the carrier
density, this technique is used for 1019cm-3>p, n>1018cm-3.
A, B, C are fitting parameters.

This method measures uniformly doped material with
thickness at least equal to 1/ α.
86
Free Carrier Absorption
Photons with hν<Eg can be absorbed by free carriers.
The free hole absorption coefficient is
The empirical results are
This technique is used for p, n>1017cm-3
87
Free Carrier Absorption
For n-GsAs
Free carrier absorption is also related to sheet
resistance ρs
where T is the transmittance, k=0.15 for n-Si,
k=0.3375 for p-Si.
88
Infrared Spectroscopy
(a) Energy band diagram for a semiconductor containing donors at low
temperature, (b) energy band diagram showing the donor energy levels, (c)
band diagram when both donors and acceptors are present. The “above-band
gap” light fills donors and acceptors.
89
(a) Donor impurity spectrum for 265 Ω-cm n-Si at T~12K, (b) spectrum for the
sample in (a) with " above-band gap " illumination.
90



This method is excellent for identifying impurities.
Calibrated data is necessary to relate the peak
height and the impurity density.
The transmittance of semiconductor through a
sample with thickness t is
for reasonable measurement sensitivity, t≒1/α.
91
Photoluminescence


Incident light on samples to create EHP,
subsequent radiative recombination are measured.
Impurity identification is very precise, but density
measurement is difficult.
92
Comparison between the PL resistivity and electrical resistivity for B and P
in Si.
93
Secondary Ion Mass Spectrometry (SIMS)
94
Lateral Profiling
Two-axis beveled sample. The cross-hatched regions represent the implanted layer in both
the vertical and the beveled planes. The probes are indicated by the solid points and their
stepping direction by the arrows.
95